GATE EC 2021 Quantative Aptitude
7:45
casex in verilog #verilog
8:04
2 жыл бұрын
casez statement in Verilog #verilog
22:58
VI Editor commands in Linux
12:18
2 жыл бұрын
Verilog Scheduling Semantics #verilog
11:55
Пікірлер
@Nonamepme
@Nonamepme Ай бұрын
Thanks for this video. Commendable work!
@goluyadav_85
@goluyadav_85 Ай бұрын
Thanks for this video and this video is useful to linux user
@abdullamarhoon8321
@abdullamarhoon8321 Ай бұрын
how to make the same design using only three inputs though
@saygexwithme
@saygexwithme 2 ай бұрын
how does A compliment B on and gate work and vice versa? why is A' B and AB' in the or gate give A=B?
@felixiliya2282
@felixiliya2282 2 ай бұрын
Thanks. But please on your other videos, please try not to rush them through as there are slow learners out here like myself.
@AmarNath-uu9lq
@AmarNath-uu9lq 2 ай бұрын
Thankyou
@achyuthanand4391
@achyuthanand4391 4 ай бұрын
What is oe_r doing in the Design?
@203_sayantansen5
@203_sayantansen5 5 ай бұрын
Thank you❤
@usmanhashmi684
@usmanhashmi684 5 ай бұрын
poor voice. and way of method ... 👎
@ChiragHadiya
@ChiragHadiya 5 ай бұрын
generate a random number between 10 to 20 without using random range ?
@chasebryson5178
@chasebryson5178 6 ай бұрын
Are they young or am I mistaken? If they are impressed, I'm referencing this video in college 😂
@sudhanshunautiyal-fx6wn
@sudhanshunautiyal-fx6wn 6 ай бұрын
Mam ,why we didn't made object of mailbox inside the classes as we are using mailbox methods inside the class
@Raziq-maxi
@Raziq-maxi 6 ай бұрын
😇
@Raziq-maxi
@Raziq-maxi 6 ай бұрын
Good explanation thank you
@rishav3166
@rishav3166 6 ай бұрын
VERY NICE EXPLANATION
@MrRONE777
@MrRONE777 6 ай бұрын
код на бумаге - это сильно, конечно
@banumathi2185
@banumathi2185 8 ай бұрын
Mam what is the value for 9 if the decimal digit is 8 -4 -2 -1.please reply mam
@AnushkaTiwari-fs8tk
@AnushkaTiwari-fs8tk 6 ай бұрын
all 1
@ABDURRAHMANABULFADLBASHIR
@ABDURRAHMANABULFADLBASHIR 8 ай бұрын
superb and excellent explantion. thank you
@ravirayappa2017
@ravirayappa2017 8 ай бұрын
Madam pls send the verilog code as the code what u were explaining was not fully visible...some of the lines were not visible...
@priyanshdadheech7564
@priyanshdadheech7564 8 ай бұрын
MORE VEDIOS ON SV MAAM NEEDED
@anjaligoyal4765
@anjaligoyal4765 8 ай бұрын
Thanks 🙏
@TallaVenkateswararao
@TallaVenkateswararao 8 ай бұрын
booth multiplier code please give
@ToanPham-wr7xe
@ToanPham-wr7xe 9 ай бұрын
😮
@ShivamKumar-ox1vy
@ShivamKumar-ox1vy 9 ай бұрын
Great explanation....
@mdehtesham2055
@mdehtesham2055 9 ай бұрын
Your ans is absolutely wrong why u have calculated ndividually no need to to solve indivial it's total divided by clock time here total is 150 /5 which is equal to 30 and we know that n>= log base 2(30) which is 4.9 so min 5 ff required
@fit-spirit1423
@fit-spirit1423 9 ай бұрын
thank you didi😊
@Premiumcreation1
@Premiumcreation1 10 ай бұрын
How ??
@ammanagrawal762
@ammanagrawal762 10 ай бұрын
Very well explained thank you maam
@faridahmedrobiul993
@faridahmedrobiul993 10 ай бұрын
Wah didi aap khud bhi nahi jaante kya padhaya aapne...chaalu rakho bhai😂
@pathakamurinaresh8041
@pathakamurinaresh8041 Жыл бұрын
Good efforts 🎉
@pathakamurinaresh8041
@pathakamurinaresh8041 Жыл бұрын
Good efforts 🎉
@danielcharles6088
@danielcharles6088 Жыл бұрын
thank you mam
@venu_116
@venu_116 Жыл бұрын
Very Nice explanation
@DostiinDelhi
@DostiinDelhi Жыл бұрын
Thank you ❤
@pushpendranayak3235
@pushpendranayak3235 Жыл бұрын
Very nice
@pushpendranayak3235
@pushpendranayak3235 Жыл бұрын
Nice
@pushpendranayak3235
@pushpendranayak3235 Жыл бұрын
Nice
@pushpendranayak3235
@pushpendranayak3235 Жыл бұрын
Aap bahut achche se concept clear karati h... please hindi m or video banaye...me aapka video apne friends ko bhejta hu...
@digital2realtutorials671
@digital2realtutorials671 Жыл бұрын
Thank you.
@pushpendranayak3235
@pushpendranayak3235 Жыл бұрын
Nice
@pushpendranayak3235
@pushpendranayak3235 Жыл бұрын
Thanks🙏🏻
@pushpendranayak3235
@pushpendranayak3235 Жыл бұрын
Nice
@bruhbruh4137
@bruhbruh4137 Жыл бұрын
Finally , the best video for an INTEFACE
@bruhbruh4137
@bruhbruh4137 Жыл бұрын
Very very underrated video maam... BEST VIDEO I FOUND AFTER SEARCHING FOR 3 HRS
@tejabollam4606
@tejabollam4606 Жыл бұрын
Excellent Video. It would have been much more great had the code been executed and the waveforms been shown on any verilog simulators.
@nagurbhashashaik4108
@nagurbhashashaik4108 Жыл бұрын
Wonderful teaching ❤
@zachkinglegend3514
@zachkinglegend3514 Жыл бұрын
thaanks bro
@saikanthandl3208
@saikanthandl3208 Жыл бұрын
Is your code working? It's not working from my end!
@deepakkumaryadav6009
@deepakkumaryadav6009 Жыл бұрын
Maym have a video about Fifo
@pushpendranayak3235
@pushpendranayak3235 Жыл бұрын
Very nice video
@d.aditya1398
@d.aditya1398 Жыл бұрын
need to work on your communication skills 🙌🙌