Bhai maine liya h lekin mobile ke battery connector pe 4.29 hi aa raha ..jab ki 5v ana chahiye
@rkstechnoАй бұрын
Correct hai, 3.7 v tak ho sakta hai
@TheShereen1964Ай бұрын
Apple fon ?
@rkstechnoАй бұрын
same process
@BoB____123Ай бұрын
bro how to do this plz help me
@LUCKYTRICKY3038Ай бұрын
😢
@rkstechnoАй бұрын
First you have to learn about the motor interface with raspberry pi. Then learn about "how to detect custom images using raspberry pi and camera module and try to extract coordinates of the object in the image frame. According to the values give the command to the motor for movement and other stuff
@rkstechnoАй бұрын
Learn and try to make😊
@afrzlfaiz01Ай бұрын
how to fix limit 12 month can't join
@rkstechnoАй бұрын
First you have to leave the family member.
@abhijitgarai1717Ай бұрын
Iska accuracy aacha ha?
@rkstechnoАй бұрын
Haa
@abhijitgarai1717Ай бұрын
Mastech aacha ha ya themisto? Konsa Lena chaiye
@Rahulkumar-wd5qgАй бұрын
Mai to tharsmito hi use kerta hu achha chal rahha
@abhijitgarai1717Ай бұрын
Kitna dino se use Kar Rahe ho
@Rahulkumar-wd5qgАй бұрын
@@abhijitgarai1717 1 year
@mr_introvert2713Ай бұрын
worst explanation
@PRADEEPSIVA-ow2qiАй бұрын
Your contact number bro??
@MDMijuAhmed-og3reАй бұрын
How to chate link
@rkstechnoАй бұрын
To your family members 😁
@suman8593Ай бұрын
🙏🙏🙏🙏
@vikasusanmugagiri13552 ай бұрын
How much cost to build this floating waste collector
@rkstechno2 ай бұрын
Approx 3k-4k
@vikasusanmugagiri13552 ай бұрын
@@rkstechno Can you help us to make this project
@rkstechno2 ай бұрын
Sure
@vikasusanmugagiri13552 ай бұрын
@@rkstechno how to contact you broo
@rkstechnoАй бұрын
Content on instagram Rkstechno
@mallikarjunhanabar-bq8ih2 ай бұрын
Thanks bro 🙏🙏🙏❤
@rkstechno2 ай бұрын
Most welcome 🤗 Please visit other playlists also
@suman85932 ай бұрын
👏👏
@roncastelino97742 ай бұрын
भाई मैने बि ऑर्डर किया ता लेकिन उसमे मल्टीमीटर का कवर केस नहीं आया कन्यो? अब तुमरा वीडियो देकर पता चला। अब डेढ़ महीना होगया मैने अमेजन से खरीदा ता।🤔
@rkstechno2 ай бұрын
कवर लगा ही हुआ रहता है मल्टीमीटर में अलग से नहीं आता
@cheerybell892 ай бұрын
Even though I didn’t understand the language, I could understand from the video. Thank you so much. It worked!
@rkstechno2 ай бұрын
Where are you from?
@TrueDetectivePikachu2 ай бұрын
Quick question, so you're using an external DAC for the FPGA? I was hoping to find an FPGA with DAC capabilities.
@rkstechno2 ай бұрын
This FPGA required external DAC
@chandrakantadas33113 ай бұрын
Isme capaciter chek nahi ho sakta
@gamingwithffc3 ай бұрын
TQ BHAI
@rkstechno3 ай бұрын
Welcome
@rkstechno3 ай бұрын
Welcome
@madhusmitadas53895 ай бұрын
Current measuring How
@rkstechno5 ай бұрын
Only DC current
@anmolmehta10665 ай бұрын
Ampere check kr skty hain Ismy???
@rkstechno5 ай бұрын
Dc ampere only
@sonukumar-nr1tj6 ай бұрын
Bahut sundar 👍👍
@rkstechno6 ай бұрын
Dhanyawad 🙏
@mohdyasir16456 ай бұрын
👍👍👍
@boneheadshikari6 ай бұрын
Rhik hai
@rahulnair39606 ай бұрын
Is TH-M100 good?
@rkstechno6 ай бұрын
It is good but almost double the price.
@CRAZIESTSANTHAL8 ай бұрын
Kaisa h bhai iska response batana please
@rkstechno8 ай бұрын
Overall very good
@PujanBasnet-0579 ай бұрын
broo what indicate l and h in nvc mode?
@rkstechno9 ай бұрын
It detects the electronic field near the current carrying conductor
@boneheadshikari9 ай бұрын
Good
@rkstechno9 ай бұрын
Thanks
@LiveAsh9 ай бұрын
🐱😸😺😸🐱
@selwynpereira697010 ай бұрын
How to check amps not show in vedio
@rkstechno10 ай бұрын
Only dc current will be calculated with this meter , set the nobe at the current position, and make the series connection with load.
@mashukahmed256711 ай бұрын
Brother please can you give me the cadence software file
@rkstechno11 ай бұрын
Sorry bro but it requires licenced server
@nilhashmi181211 ай бұрын
Bhai, sdc file same hogi kisi v design ke liye? Agar main alu design karunga to v same hogi? Ya fr RAM?
@rkstechno11 ай бұрын
SDC file generally clock ko synthesis kerne ke liye use hota hai, ALU me synthesis kerne ki jarurat nahi hai kyuki clock nahi hai usme. But agar synthesis kerna hai to uske input and output ke anusar SDC likhayega.
@nilhashmi181211 ай бұрын
@@rkstechno Bhai kya koi tutorial hai jo sikhaye clock kaise likhte hain?
@rkstechno11 ай бұрын
Comment me ALU ka SDC hai check kar lo
@anuragnayak32411 ай бұрын
How to measure AC current?
@rkstechno11 ай бұрын
We can't measure AC current, with this multimeter, only the DC current option is there.
@rkstechno11 ай бұрын
You can use clamp current metre for AC current
@lawrencejelsma811811 ай бұрын
@@rkstechno... Or with any engineer knowing V = L dI/dt a changing current I produces a voltage across any inductance component. With a voltmeter measuring only DC Amps you then have to measure any alternating voltages across any inductors (+ and - lead probes placement not important) or inductance nearby. New cheap nowadays voltmeters check for AC and DC voltages by equivalent switch selector (manual or digitally).
@JeevanV-d8v11 ай бұрын
1. read_libs /home/install/FOUNDRY/digital/90nm/dig/lib/slow.lib 2. read hdl counter.v 3. elaborate 4. read_sdc constraints_top.sdc //Reading Top Level SDC 5. set_db syn_generic_effort medium //Effort level to medium for generic, mapping and optimization 6. set_db syn_map_effort medium 7. set_db syn_opt_effort medium 8. syn_generic 9. syn_map 10. syn_opt //Performing Synthesis Mapping and Optimisation 11. report_timing > counter_timing.rep //Generates Timing report for worst datapath and dumps into file 12. report_area > counter_area.rep //Generates Synthesis Area report and dumps into a file 13. report_power > counter_power.rep //Generates Power Report [Pre-Layout] 14. write_hdl > counter_netlist.v //Creates readable Netlist File 15. write_sdc > counter_sdc.sdc //Creates Block Level SDC
module sr_latch ( input s, input r, output reg q, output q_bar ); always @(s, r) begin if (s && !r) q <= 1'b1; else if (!s && r) q <= 1'b0; else if (s && r) q <= q; end assign q_bar = ~q; endmodule Srl_test.v module sr_latch_tb; reg s, r; wire q, q_bar; sr_latch dut ( .s(s), .r(r), .q(q), .q_bar(q_bar) ); initial begin s = 0; r = 0; // Test Case 1: Set (S = 1, R = 0) #5 s = 1; r = 0; Srl_test.v module sr_latch_tb; reg s, r; wire q, q_bar; sr_latch dut ( .s(s), .r(r), .q(q), .q_bar(q_bar) ); initial begin s = 0; r = 0; // Test Case 1: Set (S = 1, R = 0) #5 s = 1; r = 0; #5 s = 0; r = 0; // Test Case 2: Reset (S = 0, R = 1) #5 s = 0; r = 1; #5 s = 0; r = 0; // Test Case 3: Invalid state (S = 1, R = 1) #5 s = 1; r = 1; #5 s = 0; r = 0; $finish; end endmodule Srl.sdc create_clock -name en -period 2 -waveform {0 1} [get_port "en"] set_clock_transition -rise 0.01 [get_clock "en"] set_clock_transition -fall 0.01 [get_clock "en"] set_clock_uncertainity 0.01 [get_ports "en"] set_input_delay -max 1.0 -clock en [get_ports "s"] set_input_delay -max 1.0 -clock en [get_ports "r"] set_output_delay -max 1.0 -clock en [get_ports "q"] set_output_delay -max 1.0 -clock en [get_ports "q_bar"] set_load 0.15 [all_outputs]
Please make 6T and 10T sram based video in cadence. No proper video available. Please
@electricshorts Жыл бұрын
❤❤❤❤❤
@vihanraj001 Жыл бұрын
Good work 🎉🎉
@rkstechno Жыл бұрын
Thanks
@anandjnv5821 Жыл бұрын
🔥 🔥
@shooqatgondal5576 Жыл бұрын
This product is available in pakistan
@rkstechno Жыл бұрын
I don't know , I am from India and it is available here.
@Altekameraden79 Жыл бұрын
I enjoyed this presentation. If accessible, and primarily for fun, using Ansys HFSS to simulate the portable microwave magnetron 2.45Ghz radiation output via waveguides into the cooking chamber is a rewarding & multifaceted challenge to undertake.
@mohdyasir1645 Жыл бұрын
👏👏👍👍
@hrushikeshtripathy8877 Жыл бұрын
Can we measure capacitance??
@rkstechno Жыл бұрын
no
@akashdas7838 Жыл бұрын
मैं बहुत दुखी हूं इसलिए कि इनमें AC ampere नहीं है 😢😢😢😢😢💔💔💔
@rkstechno Жыл бұрын
Correct 💯
@rkstechno Жыл бұрын
Use AC clamp amps metre
@akashdas7838 Жыл бұрын
@@rkstechno Bhai Maine kharid liya Bina janbojke Bina dekhe he themisto, socha tha ispar he hoga😭 aane ke baad pata chala 😭💔
@rkstechnoАй бұрын
You can use some mathematics to find ac current using dc current