VLOG || CHAPTER BUYUT SURAPATI
33:13
VLOG CHAPTER CIPARAGEJAYA-KARAWANG
14:40
JALUK AMPUN || DARMA SAPUTRA
9:13
11 ай бұрын
Пікірлер
@user-yn7gs9xi4f
@user-yn7gs9xi4f 3 күн бұрын
😊😊
@WanyadNyad
@WanyadNyad 4 күн бұрын
😂😂😂
@boimwonk8631
@boimwonk8631 4 күн бұрын
Pak boun rek joged sebentar lagi
@dedekurniawan103
@dedekurniawan103 5 күн бұрын
Suara Soundne cocok
@InkaAnakebapakdula
@InkaAnakebapakdula 7 күн бұрын
Mbak capange sing bener mbak katon pian olih niru seng yutube
@AldiSubrata-s5o
@AldiSubrata-s5o 14 күн бұрын
Fud
@AldiSubrata-s5o
@AldiSubrata-s5o 14 күн бұрын
Nhf
@user-kc3cm1ey8g
@user-kc3cm1ey8g 15 күн бұрын
Bangusceritaya
@deniripandi3429
@deniripandi3429 22 күн бұрын
❤ SDS selalu
@ZhenMayu
@ZhenMayu 26 күн бұрын
Hett bos dayat manek panggung😂
@AalHamaludin-ck4xd
@AalHamaludin-ck4xd 29 күн бұрын
darma saputra, sandiwara legend
@PepenEpendi-nz1si
@PepenEpendi-nz1si 29 күн бұрын
Nok yayang👍👍👍
@kaditagracia
@kaditagracia Ай бұрын
Selamat ya temen dari orok sakinah mawada warohmah panjang umur sehat selalu aamiin
@Akunkecil21
@Akunkecil21 Ай бұрын
Sedep ari serimpi ne kien mah jogete alus termasuk raine idaman cina dermayu
@AningWasto
@AningWasto Ай бұрын
Preeeet
@andilau-yb6hx
@andilau-yb6hx Ай бұрын
Asik juga musik sama pemain nya bagu2
@andilau-yb6hx
@andilau-yb6hx Ай бұрын
Bagus2 hebat
@user-ok7oj2bk4e
@user-ok7oj2bk4e Ай бұрын
ini sandiwara legend bukan sandiwara kaleng kaleng..sebelum saya lahir juga ini sudah berdiri,.umur syaa 40 tahun mungkin ini sandiwara sudah berumur 60 tahun lebih
@Akunkecil21
@Akunkecil21 Ай бұрын
​@@user-ok7oj2bk4esayang radene wis tua . Wayahe pensiun dadi Raden . Cocok dadi alim ulama dadi tokoh kerajaan. Dadi sunan sunanan. Langka regenerasi Tah om@SDS producktion
@lenywisnu1653
@lenywisnu1653 Ай бұрын
😂😂
@ekasari5940
@ekasari5940 Ай бұрын
Jaya baya
@SarillahAuliana
@SarillahAuliana Ай бұрын
Kang kodok kula dolan y
@ffalfiangaming8889
@ffalfiangaming8889 Ай бұрын
Suarae rapiii kerennn mantapp
@Krisnada7880
@Krisnada7880 Ай бұрын
Kondisikan biar mic gk fikback
@Krisnada7880
@Krisnada7880 Ай бұрын
Pemaen wadon e kuen kuen ae , ora ganti tah apa
@ffalfiangaming8889
@ffalfiangaming8889 Ай бұрын
Lalah buyut mundu
@mamahrum5591
@mamahrum5591 Ай бұрын
😂😂😂😂😂
@aqilashafana1510
@aqilashafana1510 Ай бұрын
Ng ndi bae kodok mh rame wow kodok
@anandaoppo9911
@anandaoppo9911 Ай бұрын
Masih ke inget bapak ne kita nuku ena dolanan kalesan masih bocah ya dadi akeh bae jejalukan barang kien pengen jaluk apa bae gah Kosi anggel ya allah semoga bapak ne kita tenang ning kana ya Allah 😭
@mamahrum5591
@mamahrum5591 Ай бұрын
😂😂😂😂 sampe lara wetenge ...Komo baka wa penjol tukaran bari panjak kosi kaku gemuyu
@opponew8374
@opponew8374 Ай бұрын
Cameranya ngga jelas/burem🙏🙏
@tejoaryadi16
@tejoaryadi16 Ай бұрын
Dia tuh entertainer idolaku yg sejati, smart, multitalent, dan gak bikin suasana boring. Sehat sll kang Raden kedungsuk 😂❤
@ekadwipuspita7166
@ekadwipuspita7166 Ай бұрын
Keren lagunya.
@adp5619
@adp5619 Ай бұрын
Mav admin saya dari bogor. Kalau seandainaya ada yg mau manggill dialognya di ganti bahasa sudna dan indonesia bisa ga?
@PipitSafitri-cs8yc
@PipitSafitri-cs8yc Ай бұрын
Kok kaya macet
@darsonotarminih4777
@darsonotarminih4777 Ай бұрын
@nurman1711
@nurman1711 Ай бұрын
@nurman1711
@nurman1711 Ай бұрын
Nongol ah
@RevanArdiansyah-xs2lu
@RevanArdiansyah-xs2lu Ай бұрын
Ang tukang kendange lingga buana tah alihan
@BundaRoxy
@BundaRoxy Ай бұрын
tukang kendang DS ana loro
@rikidiansah887
@rikidiansah887 Ай бұрын
Edo stresss
@suyatno84203
@suyatno84203 Ай бұрын
Dewie melody lover
@kuyenahyenah8345
@kuyenahyenah8345 Ай бұрын
Inces dian shan shan ana ning kene
@MohammadZibiZildan
@MohammadZibiZildan Ай бұрын
Ning lombang
@mimijen6619
@mimijen6619 Ай бұрын
Ketinggalan
@danubellamy1920
@danubellamy1920 Ай бұрын
😂😂😂
@BoncelDedi
@BoncelDedi Ай бұрын
Nontone maju mundur santik nang udan
@agrn1786
@agrn1786 Ай бұрын
Dekore kapan ganti bos
@DasiniMimi
@DasiniMimi Ай бұрын
klw ga enak jangan di tonton
@JajatSudrajat-h1y
@JajatSudrajat-h1y Ай бұрын
Mba yayang
@extrajoss8342
@extrajoss8342 Ай бұрын
Kelabang dok metune😅😅😅😅😅😅
@sekunyermon8367
@sekunyermon8367 Ай бұрын
Hjsanterkangeneningenyangkodokhemaapnya
@PurwaKali-qk1dt
@PurwaKali-qk1dt 2 ай бұрын
Bunda Roxi weruh bae iy
@sekunyermon8367
@sekunyermon8367 2 ай бұрын
Hjsanterdesasumuadem