11.2 - File Input in C
21:34
Жыл бұрын
11.1 - File Output in C
18:26
Жыл бұрын
9.2(a) - Pointers and Arrays in C
16:57
8.4(a) - 2D Arrays in C
18:12
Жыл бұрын
Пікірлер
@Bhehar
@Bhehar 2 күн бұрын
I'm gonna imagine this energy behind the words as I go through the rest of your MSP430 book!
@ernaparan2511
@ernaparan2511 10 күн бұрын
Thank you sir. I noticed that you didn't clear the receive interrupt flag in the ISR. I wonder why you haven't done that. I searched the monster datasheet and found out that UCRXIFG is automatically reset when UCAxRXBUF is read. Thank you once again sir.
@ernaparan2511
@ernaparan2511 11 күн бұрын
Thanks sir. I've seen the action through a serial terminal. I'm still saving up to buy my own usb oscilloscope. Planning for at least a pico scope.
@yuantaihsu9060
@yuantaihsu9060 15 күн бұрын
I recommend it this professor as he teaches with a enthusiastic tone.
@forheuristiclifeksh7836
@forheuristiclifeksh7836 16 күн бұрын
1:00
@ernaparan2511
@ernaparan2511 17 күн бұрын
you can find vector labels at msp430fr2355.h file
@ernaparan2511
@ernaparan2511 17 күн бұрын
for better response you can adjust the delay count to i < 17000. just don't put values more than or equal to 2^15 (that is, 32,768) or it won't work.
@alphamega3306
@alphamega3306 24 күн бұрын
Prof LaMeres, thank you. I've watched every video up to this one, and I'll be finishing the series off in the next week or so. This way of teaching is how things should be done in the future. This is the perfect intro-to-embedded-systems course. It's explained perfectly, such that anyone who has an aptitude for this type of thing can quickly understand it. I'm thinking that this could be another course on Khan Academy, since this course is done similarly to the style of courses Khan's site: complete courses from A to Z, done so by actually explaining all the details and not glossing over or skipping corners/concepts, and it's professional as it could ever be. 100% solid course!! And a life saver, since the embedded sys course I'm currently taking at a college doesn't even compare to the quality presented in this course--if it wasn't for this series, it would have just been another course I passed but didn't learn anything in, opposed to feeling like I've now nearly masted it!
@ernaparan2511
@ernaparan2511 Ай бұрын
Thank you sir. Now I know where those magic names, abbreviations and labels come from.
@NtokozoMvundle-cf6up
@NtokozoMvundle-cf6up Ай бұрын
🤣🤣🤣🤣11:33 talking about blahhhh🤣🤣
@slonbeskonechen8310
@slonbeskonechen8310 Ай бұрын
странно, почему в наших широтах не популярны msp430FRxx.... прекрасные контроллеры...
@RuslanCurbanov
@RuslanCurbanov Ай бұрын
Great learning material, thanks for the effort! A small note regarding the labels "ItIsPositive" and "ItIsNegative": A number can also be zero. In this case the path would be "ItIsPositive" which contradicts the value of the number.
@jaytee9487
@jaytee9487 Ай бұрын
The site no longer has model sim
@studymail4800
@studymail4800 Ай бұрын
4 mois encore apres nou fini 💯
@chenrushan219
@chenrushan219 Ай бұрын
Thank you professor for the whole series.
@jollygoodshow1587
@jollygoodshow1587 Ай бұрын
bro put two !! for in place of the 1's in r11 lol
@user-ng8rl3jb1i
@user-ng8rl3jb1i Ай бұрын
Nice one but i dont get why not to go back to the initial state when i get anything else other than 1 1 1
@digitallogicprogramming2199
@digitallogicprogramming2199 Ай бұрын
This keeps track of three bits coming in at a time.
@user-st1lx3sv9y
@user-st1lx3sv9y Ай бұрын
top level coding was showing error
@zDoubleE23
@zDoubleE23 2 ай бұрын
Thanks. I could not find this anywhere in the documentation.
@TheNitroPython
@TheNitroPython 2 ай бұрын
I tried using the intel documentation and could not figure this out to save my life.. thanks!
@willreed9433
@willreed9433 2 ай бұрын
Explained so much more clearly than my professor. Thank you for this.
@TAL.TheAfricanLover
@TAL.TheAfricanLover 2 ай бұрын
Good explanation for free
@chenrushan219
@chenrushan219 2 ай бұрын
great content
@AudreyGraceB
@AudreyGraceB 2 ай бұрын
Great series. I'm currently a student having taken intro to CS, and a couple of programming courses, and I'm now studying these materials over my summer break.
@Martini_GP
@Martini_GP 2 ай бұрын
Thanks "God", now I can focus on the content versus deciphering the accent/intended meaning.
@ninja5311
@ninja5311 2 ай бұрын
Depending on your MSP430 part number, you may have to use USCI_A1_VECTOR instead of EUSCI_A1_VECTOR in your interrupt. This was the case for the MSP430FR5969
@pradeepmenaria7999
@pradeepmenaria7999 3 ай бұрын
awesome video no such content found ever
@chenrushan219
@chenrushan219 3 ай бұрын
great content
@chenrushan219
@chenrushan219 3 ай бұрын
great content as always
@chenrushan219
@chenrushan219 3 ай бұрын
great content
@chenrushan219
@chenrushan219 3 ай бұрын
great content
@vndm97
@vndm97 3 ай бұрын
I don't have the expected voltage at the result. Is that correct: V = ADCMEM0 * 3.3 / 4095? My ADC resolution is 12-bit. I always get the result lower than the expected value. e.g. 1.7V instead of 2V. Any idea?
@chenrushan219
@chenrushan219 3 ай бұрын
great content
@chenrushan219
@chenrushan219 3 ай бұрын
great content
@vndm97
@vndm97 3 ай бұрын
Hello, I am not student of Montana university, so I don’t have the NetID or password. How can I ssh to the Linux server?
@digitallogicprogramming2199
@digitallogicprogramming2199 3 ай бұрын
Sorry, our Linux server is only for MSU students. But you can put Linux on your own computer.
@dreadesina5216
@dreadesina5216 3 ай бұрын
The best technical skills one can ever acquire is "Clear communications" there're lot of content on KZbin every time you consume one you will be in awe and yet some consumer like me will still end up been confused or not totally understanding the material. I've consumed few contents on MOSFET and CMOS even though I understood the science, but the concept is still blurry and my hunger for knowledge and understanding keep increasing so I dig deeper been and obsessive learner that thrive on how a technology or idea actually work which can be a blessing and a curse. This lead me here and I will say I never go back because of you! thank you! for this gem and I promise to pay it forward once I started utilizing this knowledge. Thank you, professor, may Jah replenish your strength and watch over your loved ones.
@A_loner31
@A_loner31 3 ай бұрын
Helpful video
@stutisharon5920
@stutisharon5920 3 ай бұрын
Hi Sir, Why isnt there 1ns of propagation delay initially at B.C, since for the 1AND1 to propagate through the AND gate, and appear at its output, it would take 1ns. Why are we assuming that B.C would also turn 1 at time= 0. Kindly clarify, if I am understanding this wrong. Regards, Sharon
@dreadesina5216
@dreadesina5216 3 ай бұрын
Thank you
@samamisaghian
@samamisaghian 3 ай бұрын
Thank you for your wonderful videos!
@aryanshaw4732
@aryanshaw4732 3 ай бұрын
We just have to add this library at the beginning for it to work properly use IEEE.NUMERIC_STD.ALL ; and all good thanks for the code btw
@dr3dr3-nb9mp
@dr3dr3-nb9mp 3 ай бұрын
Thank you for this gem
@behnammadadnia3410
@behnammadadnia3410 3 ай бұрын
Super useful content, I reall appreciate it, thanks
@DevBranch
@DevBranch 3 ай бұрын
Life is Good!! Thank you so much for your hard work in creating these videos! I'm learning a lot, and I really appreciate it.
@syedraza2751
@syedraza2751 4 ай бұрын
Thank you very much for these videos. They're incredibly helpful and im hoping I can use these as a reference when im getting my masters in ECE
@aravinkug313
@aravinkug313 4 ай бұрын
Can I enroll to this course even though I'm not a student anymore?
@digitallogicprogramming2199
@digitallogicprogramming2199 4 ай бұрын
Yes! You get admitted as a non degree seeking student and enroll. Info is here www.montana.edu/summer/
@muxweb5736
@muxweb5736 4 ай бұрын
Hello Mr.Brock I really apreciate your videos on digital design with vhdl they were amazing , your way of teaching is awesome , thank you so much.
@zhengwei4164
@zhengwei4164 4 ай бұрын
Thank you
@benrafter7902
@benrafter7902 4 ай бұрын
Very good stuff
@mostafa2199
@mostafa2199 4 ай бұрын
His enthusiasm and energy