Пікірлер
@guillaumerattin9661
@guillaumerattin9661 17 сағат бұрын
J'arrive au bout de cette série de vidéos et je tiens à vous remercier pour votre travail. Grâce à vous j'ai pu lancer la fabrication de mon premier PCB. Vos vidéos sont claires, pédagogiques et complètes. Merci encore.
@gracesukadi7156
@gracesukadi7156 Күн бұрын
Combien de baffles faut-il connecté à un canal ?
@hamzatoufaridamilougou4550
@hamzatoufaridamilougou4550 2 күн бұрын
Un grand merci
@hamzatoufaridamilougou4550
@hamzatoufaridamilougou4550 2 күн бұрын
Merci beaucoup, c'est très utile
@agent47932
@agent47932 4 күн бұрын
Merci pour votre aide !
@eddybash1342
@eddybash1342 5 күн бұрын
Bonjour professeur, Fonctionnellement, quel est l'usage de V1 et V2 pour commander l' intensiotat ( gain, offset, etc ...) ? Pourriez vous faire également des présentations à partir d'un cahier des charges et montrer la démarche de la creation du schéma électronique ? Je pense que ça serait intéressant. Merci
@julienmastrangelo6925
@julienmastrangelo6925 12 күн бұрын
Bonjour M. Peronnin, à 10:18 vous mentionnez qu'on est pas ici en mode SDT. Qu'est ce que le mode SDT ? Merci pour vos vidéos
@jeromem6701
@jeromem6701 15 күн бұрын
Bonjour, quel est le logiciel utilisé pour créer le schéma de la machine à état ?
@henrysindani3783
@henrysindani3783 16 күн бұрын
merci beaucoups
@enterobacterie
@enterobacterie 16 күн бұрын
Merci!
@Bzh_029
@Bzh_029 20 күн бұрын
C'est très intéressant mais je ne trouve pas la vidéo dans laquelle vous expliquez l'installation du plug-in Arduino dans VSCode.
@cccbbb3161
@cccbbb3161 23 күн бұрын
Merci. Beaucoup de "ruses de guerre"... Cela m'a bien dépanné, et donné l'envie de passer en revue la série complète.
@benoitkouassi1951
@benoitkouassi1951 26 күн бұрын
bonjour . merci pour tout. je n'arrive pas a retrouver le chemin dans la bande deroulante.
@elscode1
@elscode1 Ай бұрын
merci pour ce partage tres utile
@gnahore5810
@gnahore5810 Ай бұрын
Merci pour cette série de videos sur LTspice
@hugo5198
@hugo5198 Ай бұрын
à quand la leçon 4 ? merci :)
@sir_nobody5199
@sir_nobody5199 Ай бұрын
Bonjour monsieur. Comment avez vous obtenu la table de vérité
@nagchampa4476
@nagchampa4476 Ай бұрын
Bonjour Pour commencer et comme d'habitude, excellente vidéo ! Merci Le point est peut-être traité plus loin dans les vidéos mais comme il n'a pas encore été abordé, je pose la question. Même pour de l'IOT, il semble délicat en 2024 de monter des systèmes accessibles sur ou depuis internet sans chiffrer les échanges client <---> serveur . Est il possible de monter le serveur Web en TLS et de proposer des communications chiffrées entre le client et le serveur web de l'esp8266 ? Merci Cordialement
@HamiBourhane-cu8kh
@HamiBourhane-cu8kh Ай бұрын
est-ce que je peux pas ecrire DDRD&=~(1<<PD3)?
@nagchampa4476
@nagchampa4476 Ай бұрын
Bonjour Franchement BRAVO ! Et merci pour ce contenu de grande qualité. Cordialement
@user-tf4gg8hb4n
@user-tf4gg8hb4n Ай бұрын
Salut! Mr Eric j'aime beaucoup votre explication, est ce que vous donnez le cours sur Netbeans aussi.
@GenieElectrique2.0
@GenieElectrique2.0 Ай бұрын
Merci infiniment ! votre vidéo m'a été d'une très grande utilité : Que Dieu vous Bénisse Richement !
@thomaspetit1994
@thomaspetit1994 Ай бұрын
Merci pour votre travail
@amelziani8484
@amelziani8484 Ай бұрын
Bonjour, j'ai fais le schéma pour un générateur de signal analogique avec un dpsic33ep256mu810 et un module dac est ce que je peux faire une simulation ?
@misironable
@misironable Ай бұрын
Merci pour cette vidéo. Question: meme avec le découplage, l'ampli op voit quand même une tension Vcc bruitée, c'est juste que le courant correspondant au bruit passe par le condensateur de découplage, exact? autrement dit Vci = Vcc en effet il y a equipotentialité dans un fil et le bruit de tension selon les lois physiques est conservé dans un même fil.
@yenaurapourtoulmonde
@yenaurapourtoulmonde Ай бұрын
à 2:38 si je compte bien le 01005 fait en réalité 1/8 de 2 soit 0.25 mm de long.
@julienlogeard
@julienlogeard Ай бұрын
merci pour la video
@yenaurapourtoulmonde
@yenaurapourtoulmonde Ай бұрын
Non seulement très didactique et très bien expliqué, mais aussi une grande qualité de montage vidéo. Il me rappelle mon prof d'électronique et microprocesseurs à l'école d'ingés, Jean-Marie Ory qui était un puits de sciences. Une grande chance de côtoyer des enseignants de cette qualité !
@jeanclaudejosephbadji5418
@jeanclaudejosephbadji5418 Ай бұрын
Bah, les étudiants GEII de l'IUT de Nantes ont vraiment beaucoup de chances hein!!!
@EtienneFRERES
@EtienneFRERES 2 ай бұрын
Pour la connexion à l'ordinateur il faut utiliser un cordon qui n'est pas uniquement destiné à l'alimentation 5V
@jeanclaudejosephbadji5418
@jeanclaudejosephbadji5418 2 ай бұрын
J'ai souri quand j'ai entendu que la tablette vous a été offert par votre fils avec toute la fierté sur votre visage. Nous le remercions aussi 4 ans après.
@alladiagne2291
@alladiagne2291 2 ай бұрын
Merci pour ce magnifique travail
@spyneeffect6812
@spyneeffect6812 2 ай бұрын
Parfait
@BySLIMR
@BySLIMR 2 ай бұрын
Bravo et merci🎉
@y_x2
@y_x2 2 ай бұрын
Les idioties du VHDL sont montrées ici.
@quevineuxcrougniard2985
@quevineuxcrougniard2985 2 ай бұрын
C'est la première fois de ma vie d'électronicien que je reçois un cours sur le quartz aussi compréhensible que simple et complet. Merci beaucoup. Quand je vous vois je suis vraiment convaincu que l'électronique n'est pas une technique qui défrise, contrairement à ce que d'aucuns racontent dans ce youtube !
@glp.antoine2766
@glp.antoine2766 2 ай бұрын
Quel goat
@chainesification
@chainesification 2 ай бұрын
Merci Mr Peronnin ! C'est vraiment un grand plaisir que de pouvoir profiter d'un véritable enseignant.
@ayachellal3001
@ayachellal3001 2 ай бұрын
bonjour, merci pour cette série. S'il vous plait sur la liste des cartes 'boards' j'ai pas la zybo z7010, je dois faire comment pour la télécharger?
@AichatouOmor
@AichatouOmor 2 ай бұрын
Merci beaucoup msr je compris maina
@jeromebonnard328
@jeromebonnard328 2 ай бұрын
Bonjour, merci pour votre vidéo très intéressante. J'ai acheté ce broyeur et je commence à l'utiliser. J'en suis très content. En revanche je suis étonné de lire que vous déconseillez le broyage de branches très sèches. J'ai fait l'essai et je trouve au contraire que justement le bois très sec est très très facilement broyé..comme du beurre. En quoi cela peut abîmer la turbine ?
@bouchrabibicha8681
@bouchrabibicha8681 2 ай бұрын
Merci beaucoup 🇩🇿
@guelouphilippe6491
@guelouphilippe6491 2 ай бұрын
Très clair Merci
@guelouphilippe6491
@guelouphilippe6491 2 ай бұрын
Vous faites du bon travail Mr vos explications sont claires et quelqu'un comme moi qui débute en armateur en électronique, ça me permet d'apprendre et comprendre ; évidemment pour les calculs c'est autre chose, mais d'abord la compréhension et ensuite les calculs Merci pour votre travail 👍
@mohamedhamoum7276
@mohamedhamoum7276 2 ай бұрын
Je vous salut monsieur pour ces cours, merci bcp
@kavindemboost
@kavindemboost 2 ай бұрын
Un grand merci Eric pour tes cours. Continue ainsi 👍
@Serinebey13
@Serinebey13 2 ай бұрын
T le meilleure professeur!
@qwertyazt
@qwertyazt 2 ай бұрын
Merci pour la série "Débuter avec Arduino"
@Serinebey13
@Serinebey13 2 ай бұрын
Tu es le meilleure!