Пікірлер
@shubhamharale16
@shubhamharale16 7 күн бұрын
Sir you are the best. Thanks very much.
@ujjwaldebbarman5556
@ujjwaldebbarman5556 8 күн бұрын
what does it mean by transfer and no transfer in fsm??
@ABHISHEKBARNWAL-jl3gj
@ABHISHEKBARNWAL-jl3gj 8 күн бұрын
Sir, I tried to run this D_F/F UVM Code in EDA_Playground with Aldec Riviera Pro. But its not working??
@ExploreElectronics
@ExploreElectronics 8 күн бұрын
Plz check with synopsis vcs once. Else what error it shows?
@ABHISHEKBARNWAL-jl3gj
@ABHISHEKBARNWAL-jl3gj 6 күн бұрын
@@ExploreElectronics Sir, First of all thanks for your response. But Synopsys is the commercial software. I don't have any company account. I am passed out student. Can you please tell any free simulator where I can run UVM CODE??
@ExploreElectronics
@ExploreElectronics 6 күн бұрын
@@ABHISHEKBARNWAL-jl3gj ohk. Let me check with Aldec Rivira.
@ExploreElectronicsPlus
@ExploreElectronicsPlus 5 күн бұрын
It is working fine with the Aldec Rivira tool as well. Please check you have made any changes. What's the error?
@kollasivaramakrishna6732
@kollasivaramakrishna6732 9 күн бұрын
Thank you for covering very important questions
@SiddarthaChintu
@SiddarthaChintu 10 күн бұрын
Sir how can i get the notes
@GugulothPrashanth-gt4gk
@GugulothPrashanth-gt4gk 14 күн бұрын
Hi sir I am struggling to develop my startup idea in vlsi domain sir can u help me out sir
@ExploreElectronicsPlus
@ExploreElectronicsPlus 4 күн бұрын
DM me. instagram.com/explore_electronics_?igsh=MWlmczJyeWRweGNk
@ROHITSINGH-yu8lx
@ROHITSINGH-yu8lx 14 күн бұрын
sir you have changed the design code because previous vedio code is another
@VSCSMITHAVENKAT
@VSCSMITHAVENKAT 14 күн бұрын
Could you please explain about giving @negedge clk and @posedgeclk in the testbench for reset
@1112533525
@1112533525 15 күн бұрын
nice video. please do for AHB and AXI too
@akhilapp1135
@akhilapp1135 16 күн бұрын
sir please do a class on various communication protocols in vlsi
@ExploreElectronicsPlus
@ExploreElectronicsPlus 16 күн бұрын
Check APB video
@pragathireddy8471
@pragathireddy8471 17 күн бұрын
now i got complete clarity.thank you sir
@vishalgowtham896
@vishalgowtham896 19 күн бұрын
nice and very well explained sir , thank you sir , Best video for UVM in youtube
@shivak1569
@shivak1569 20 күн бұрын
also write code with testbench run show the gtkwave
@ExploreElectronicsPlus
@ExploreElectronicsPlus 16 күн бұрын
For which one you asking?
@shivak1569
@shivak1569 20 күн бұрын
Because it little complex bro
@shivak1569
@shivak1569 20 күн бұрын
Put the video for verilog coding sequential circuit
@ExploreElectronicsPlus
@ExploreElectronicsPlus 20 күн бұрын
@@shivak1569 plz check this playlist kzbin.info/aero/PLu7-Sp50sSheu-zqoq6LkvsJKhH-ro9xs&si=aFI4csn3VTsBj85h
@nithishkanna7
@nithishkanna7 20 күн бұрын
can you please make a video for sequential circuit like d flip flop in future,sir?
@ExploreElectronicsPlus
@ExploreElectronicsPlus 20 күн бұрын
@@nithishkanna7 check DFlipflop UVM testbench is explained in other video
@nithishkanna7
@nithishkanna7 20 күн бұрын
@@ExploreElectronicsPlus is it necessary to include the module in the order in environment just as same as the order we created
@ExploreElectronicsPlus
@ExploreElectronicsPlus 20 күн бұрын
@@nithishkanna7 yes, use order or naming connections
@channameshsangannavar4523
@channameshsangannavar4523 21 күн бұрын
Thank you❤
@nithishkanna7
@nithishkanna7 21 күн бұрын
sir, even though i followed the instructions and write the code in eda playground, but still i had an error in mailbox in every block and generates "'ERROR VCP2000 "Syntax error. Unexpected token: transaction[_IDENTIFIER]." "generator.sv" 3 14", so what should i do ? class generator; transaction trans; mailbox gen2dr; function new(mailbox gen2dr); this.gen2dr=gen2dr; endfunction task main(); repeat(2) begin trans =new(); trans.randomize(); gen2dr.put(trans); trans.display("generator class signals"); end endtask endclass
@ExploreElectronicsPlus
@ExploreElectronicsPlus 21 күн бұрын
@@nithishkanna7 can you share your eda proj link here or dm in Instagram
@nithishkanna7
@nithishkanna7 21 күн бұрын
@@ExploreElectronicsPlus sir I'm so grateful for your help
@poojavs8634
@poojavs8634 24 күн бұрын
Where is remainaing scoreboard explanation , can anyone please tell
@LOVINGONGOLEBULLS
@LOVINGONGOLEBULLS 27 күн бұрын
THANKS ALOT SIR FOR YOUR VALUABLE TEACHING SHARING WITH US🙏🙇‍♂❤
@ShubhamSingh-pr4ii
@ShubhamSingh-pr4ii 27 күн бұрын
Sir please create ahb protocols video and after that create ahb2apb bridge design & verification video
@koushiksen9754
@koushiksen9754 29 күн бұрын
sir mera btech branch optics and optoelectronics hai am i eligible for vlsi?
@ExploreElectronicsPlus
@ExploreElectronicsPlus 28 күн бұрын
@@koushiksen9754 yes. You need to learn VLSI and do projects and mention in resume.
@srinivas99376
@srinivas99376 Ай бұрын
What about embedded is it good or bad what is the future scope of embbded
@Santhu-EC-
@Santhu-EC- Ай бұрын
im 2024 graduatein ece dept , Im working in a temp job with 2 year bond. Later i want to choose my career in vlsi, am i able to settle in core domain
@ExploreElectronicsPlus
@ExploreElectronicsPlus 28 күн бұрын
@@Santhu-EC- yes you can. Choose your vlsi domain and start preparing on that. Do projects on your own
@Muskaanhayat
@Muskaanhayat Ай бұрын
Very helpful
@fa1ong158
@fa1ong158 Ай бұрын
Could you briefly explain why we need wait 2 @posedge clk in your driver code.. Since, the dut is a DFF, so why don't we wait for only 1 clk just like dut? And also, if i try to wait only for@ 1clk, and the monitor will sample the wrong data. Thanks for your great video, by the way.
@sushma8495
@sushma8495 Ай бұрын
I have a Career gap due to family responsibilities.. How can I get into the core fields of Electronics immediately? Vlsi and embedded system?
@ExploreElectronicsPlus
@ExploreElectronicsPlus Ай бұрын
@@sushma8495 ping me in Instagram or WhatsApp
@SamprithiVilvasigamani
@SamprithiVilvasigamani Ай бұрын
Thank you for this video.. Please upload the testbench code!
@manoharnareddy
@manoharnareddy Ай бұрын
Brother can we download video and one more thing where we should start
@ExploreElectronicsPlus
@ExploreElectronicsPlus Ай бұрын
Check the swayam link in the description of this video. You will get the video access once you take the course. You can access them any time
@manoharnareddy
@manoharnareddy Ай бұрын
@@ExploreElectronicsPlus thank you
@manoharnareddy
@manoharnareddy Ай бұрын
Telegram link buddy 😅
@ExploreElectronicsPlus
@ExploreElectronicsPlus Ай бұрын
check top comment in this video
@VLSIVARMA
@VLSIVARMA Ай бұрын
This Opportunity will up to which date sir that means it will present some time or not
@explainit-k14
@explainit-k14 Ай бұрын
which method of mailbox handle passing is more used in the industry 1. one which you did in the code, passing handle in function new 2. in common and then calling it through scope resolution ( :: ). (my preference but can switch to first)
@stranger8445
@stranger8445 Ай бұрын
Sir, BE in electronics engineering(vlsi design and technology) in teir1 clg equalent to mtech?? Pls reply
@ExploreElectronicsPlus
@ExploreElectronicsPlus Ай бұрын
Cannot say like that. UG and PG have their own standards. But being in Tier 1 colg, you can expect placements without MTech also.
@anand_kumar8405
@anand_kumar8405 Ай бұрын
Wow awesome sir👏👏👏👏 such a master class of code from scratch be continue sir explain advanced code like VIP structure and TB structure
@anjinin5602
@anjinin5602 Ай бұрын
Very understable make one example for sequential circuit
@ExploreElectronicsPlus
@ExploreElectronicsPlus 20 күн бұрын
@@anjinin5602 check video on D Flipflop with uvm testbench
@mohanavinashsabbineni626
@mohanavinashsabbineni626 Ай бұрын
Very easy explanation sir waiting for testbench part
@TheHardwareDeveloper
@TheHardwareDeveloper Ай бұрын
Are you confident that with the SC boom in india Btech 4th year guys would be able to get good packages ?? will there be a boom in the jobs as well? would the freshers get 15-20 lpa after having good knowledge about design and verilog? Please answer
@shaikathalder8154
@shaikathalder8154 Ай бұрын
you wont get 15-20 lakhs as a fresher, but may be after some year of experience
@arjunkrish30
@arjunkrish30 Ай бұрын
I am a 2024 passes out sir What are the courses required for design verification engineer help me
@ExploreElectronicsPlus
@ExploreElectronicsPlus Ай бұрын
@@arjunkrish30 take 'digital system design using verilog' and 'rtl to gds' Find any courses available on System verilog in swayam. Otherwise you need to learn System verilog and UVM from the resources available on the internet. Check my previous video on "Design Verification Role"
@chandankumar-rz6fm
@chandankumar-rz6fm Ай бұрын
super explanation of code and in part 1 video also superb as i am working on this project to make APB slave i have taken so many intakes from this and i am waiting for the testbench part....please upload it soon........
@chinni949
@chinni949 Ай бұрын
Sir I want to learn analog electronics course from scratch so which course should i take
@ExploreElectronicsPlus
@ExploreElectronicsPlus Ай бұрын
@@chinni949 "analog electronic circuits" is available in swayam, you can search and see content
@kuchv6564
@kuchv6564 Ай бұрын
If we work on other sector like electrical then i will be able to join semiconductor field
@ExploreElectronicsPlus
@ExploreElectronicsPlus Ай бұрын
yes you can
@statusandmore319
@statusandmore319 Ай бұрын
please post part 3 sir
@pulirajashekarreddy9554
@pulirajashekarreddy9554 Ай бұрын
Sir i want to be a physical design engineer which course best for me
@ExploreElectronicsPlus
@ExploreElectronicsPlus Ай бұрын
You can Take analog circuits and RTL to GDS. Digital Electronics also needed.
@keshavraj4377
@keshavraj4377 Ай бұрын
Sir after doing these course can i get job in vlsi domain?? I am passout student .
@ExploreElectronicsPlus
@ExploreElectronicsPlus Ай бұрын
These are for gaining knowledge, it will help in preparation for VLSI Jobs
@ishaankumar1509
@ishaankumar1509 Ай бұрын
I am guessing that next 5-6 years this industry will grow massively and those who are aware to this I guess will grab this chance and will be getting placed into a reputated company with good package as well and as the competion here is very less as compared to CSE getting a good job wont be that hard and as this industry is evergreen so there is some job security aswell and as you gain knowloedge and experience your value and expertise to this domain will be highly fruitful tbh
@avinashmunipalli
@avinashmunipalli Ай бұрын
Ece dept ❤❤❤
@Prajwal_028
@Prajwal_028 Ай бұрын
sir, i am currently doing 4th sem in ece. And i wish to pursue my career in VLSI only. Can i take this course as a starter ?
@ExploreElectronicsPlus
@ExploreElectronicsPlus Ай бұрын
Yes. You can take.. check other courses also available in swayam which relate to your subjects also.
@radhaa6564
@radhaa6564 Ай бұрын
Please make videos on how to crack interviews also, it will help many freshers who are trying to get in VLSI field
@channameshsangannavar4523
@channameshsangannavar4523 2 ай бұрын
Good explanation ❤