The 3-D Transistor Transition

  Рет қаралды 288,638

Asianometry

Asianometry

Күн бұрын

Пікірлер: 495
@JinKee
@JinKee Жыл бұрын
it is so insane that humans can draw a really small picture on a rock, zap it with lightning and make it do math
@ChatGPT1111
@ChatGPT1111 Жыл бұрын
Yes but can it do cat videos?
@Mtaalas
@Mtaalas Жыл бұрын
Natural evolution of humans drawing on rocks over tens of thousands of years... :D We're still cave men at heart :D
@TimPerfetto
@TimPerfetto Жыл бұрын
@@ChatGPT1111 OOoohh god bless you for asking about cats and videos because without cats what hair would we have to eat so god bless cats and hair and god bless god for making cats have hair well there are cats without hair so god bless the weirdos who bred hairless cats and the weirdos who buy them ohhhhhhhoh
@TimPerfetto
@TimPerfetto Жыл бұрын
OOOOOOOOOOOohhhhhhohhhhhhhhhhhhhhhhhhhhhhhhhhhhhhhh
@forthehomies7043
@forthehomies7043 Жыл бұрын
yes it is amazing. universe created the most powerful object in existence and it only weighs 3 pounds and you can hold it in your hand. the human brain. we are extraordinary
@horseloverfat6938
@horseloverfat6938 Жыл бұрын
Hey I just wanted to tell you how much I enjoy and am informed by your videos - love your choice of topics, sometimes quirky (Venera Program), sometimes highly topical (Hardware for AI) but always insightful. Your level of engagement with the physics and math hits just right for me. Congratulations and best wishes for a prosperous 2023!
@Asianometry
@Asianometry Жыл бұрын
Thank you, Mr. Horselover. I love horses too.
@MegaChickenPunch
@MegaChickenPunch Жыл бұрын
🐴
@jesse4202
@jesse4202 Жыл бұрын
horselover fat as in from VALIS??
@horseloverfat6938
@horseloverfat6938 Жыл бұрын
@@jesse4202 only one I know...
@Teunslang1999
@Teunslang1999 Жыл бұрын
Babe wake up, there's a new Asianometry video
@rowanhaigh8782
@rowanhaigh8782 Жыл бұрын
This made me lol. 😁
@curiodyssey3867
@curiodyssey3867 Жыл бұрын
Well this meme is getting old real quick
@AlexKarasev
@AlexKarasev Жыл бұрын
Doggie, so we can both watch
@Vamooso
@Vamooso Жыл бұрын
@@curiodyssey3867 Only because you sleepy
@gengar1187
@gengar1187 Жыл бұрын
fax lol
@luizmenezes9971
@luizmenezes9971 Жыл бұрын
I'm Brazilian, and I graduated in microelectronic processes. Only 7 people graduates in this area per year in Brazil. Later (about 10 years ago), I attended a course on chip design. I'm among the select few who had some education in microelectronics in this country. Needless to say that I never worked in the area. My diploma is gathering dust, and I actually work with software development, where I built a career out of grit and stubbornness. I like to watch your videos, to reminesce about the 5 years of my life that I wasted studying those topics, how even back then the course was hopelessly obsolete, how now my knowledge is about 50 years out of phase with current trends (It was already 30 when I was a student). It was a difficult course, with high turnover, and no hope of employment. I was a fool for going through it. At very least it was State sponsored and I paid nothing.
@stimpyfeelinit
@stimpyfeelinit Жыл бұрын
brutal, and your country is headed by l*la now as well its over for you
@mark-
@mark- Жыл бұрын
Did you applied to the overseas chip manufacturing firms?
@bigneto95
@bigneto95 Жыл бұрын
Eu nem sabia que tínhamos um curso disso no país, era em qual universidade?
@神-n6b
@神-n6b Жыл бұрын
Semiconductor fabrication, only has industries in Asia region, particularly china taiwan korea.... unless you r in those countries, speak korean or mandarin... if not i do not foresee your country capable of producing any related job for it. Do what ur country,Brazil good at...maybe burn down amazon, be farmer or play soccer...
@thep751
@thep751 Жыл бұрын
Yes look into jobs in for example the US. They are building lots of new fabs here in the news recently, I can only imagine people with your knowledge is highly sought after. Doesn't hurt to apply and look into.
@JonMartinYXD
@JonMartinYXD Жыл бұрын
I'm in IT and worked in a university computing science department, and this is as good an explanation of the past, present, and future of transistors as I have heard.
@Pax_Veritas
@Pax_Veritas Жыл бұрын
My commendations for how you manage to keep these videos both informative AND entertaining. I have no particular skills in CPU or semi-conductor architecture yet I find your videos fascinating. I'm a double STEM grad (physics/finance) so I know how tough it is to make subject matter like this appeal to specialists or students within the field, let alone casual observers like myself. Well done sir!
@joaquinollo407
@joaquinollo407 Жыл бұрын
Damn, the topic is hard, but I greatly appreciate your skill at explaining it. Thanks a lot!
@johnl.7754
@johnl.7754 Жыл бұрын
Yeah which is why his non semiconductor/hardware videos has more views. Hopefully he gets paid more (cpm) for the high IQ videos which are his signature ones.
@volvo09
@volvo09 Жыл бұрын
I like these videos. I am no engineer, but I understand the "problems and hurdles" with new processes and like knowing more details rather than "there are yield problems with the new technology"
@red-baitingswine8816
@red-baitingswine8816 Жыл бұрын
Yes I'm also ignorant and found this video clear and simple (until about 3/4 the way through. ☺).
@Ethyn_Jackson
@Ethyn_Jackson Жыл бұрын
Every leap forward begins with a "You son of a bitch, I'm in."
@KirtFitzpatrick
@KirtFitzpatrick Жыл бұрын
The 3D model at 6:25 is phenomenal. Your 3D animator should be out more often. ;-) Perfectly clear demonstration of the concept and hilarious. 💯
@curtdeno1146
@curtdeno1146 Жыл бұрын
I became a grad student at UC Berkeley's EECS department in the Fall of 1984. Following my undergraduate degree in electrical engineering and med school, I wanted to know more about semiconductor device physics. I prepared for prelim exams with an undergrad course taught by professor Chenming Hu. He was a superb teacher and communicator. I subsequently learned he is also a superb human being. Professor Hu, if you are out there, this humble medical device scientist is great full for your teaching and the gift of putting to use the FinFET electronics to better manage heart diseases. God speed, professor.
@chockgan2335
@chockgan2335 Жыл бұрын
11:28 The fin pitch is distance between same feature to feature. The arrow points to fin space. Pitch = Width + Space. :-)
@johnforguites4800
@johnforguites4800 Жыл бұрын
Thank you for this! I had to smile when you mentioned your father at NSC...that's where I worked first...at their first fab (I don't think we called them that at the time!) in Danbury CT
@Asianometry
@Asianometry Жыл бұрын
I fondly remember my father taking me to his office at National Semiconductor back when I was a child. I loved that campus.
@locknut5382
@locknut5382 Жыл бұрын
Small correction: I was at a factory making military devices containing 3-D bipolar transistors and other devices, in MMIC's in the early 1980's. The difference was that they were much larger devices than the modern versions.
@TndrTwn
@TndrTwn Жыл бұрын
I cranked the volume on my headphones to force this information into my brain. Asianometry does a stupendous job of informing, what was, what is, and what's will be. Technology keeps throwing curve balls, Asianometry shows us the pitch.
@ttb1513
@ttb1513 Жыл бұрын
Epiphany! I had never thought to really crank the volume and FORCE the understanding deep into my brain. I like it.
@Nanocosm
@Nanocosm 2 ай бұрын
S curve balls
@1998awest
@1998awest Жыл бұрын
Another outstanding video, great summary and great visuals. Slight correction: Intel moved to high k metal gate for 45nm. Their 32nm node was a shrink of 45nm, the second high k metal gate node, and, as you noted, final planar node. Samsung tried to squeeze one more planar process for 20nm, but it was a disaster. 14nm yielded far better with finFETs.
@soren6045
@soren6045 Жыл бұрын
„14nm“ is 20 with FinFETs, there was no shrink. This was the point there Intel was a node „behind“, because people only look on marketing numbers.
@grizwoldphantasia5005
@grizwoldphantasia5005 Жыл бұрын
Professor ... Hu? Does he have a PhD, could you not have added a "Dr Hu" caption? Oh the missed opportunity to start the New Year right!
@hitmusicworldwide
@hitmusicworldwide Жыл бұрын
Everyone forgets that DARPA is often the mother of our modern invention era. They only focus on where commercial production ends up. A whole era of innovation in technology usually begins at DARPA
@greebj
@greebj Жыл бұрын
So NVIDIA is just getting in early and conditioning the consumer market for future higher prices with $1000+ consumer GPU boards...
@Luxcium
@Luxcium Жыл бұрын
You are always so straight to the point and it is so calming to watch your videos…
@problemat1que
@problemat1que Жыл бұрын
Very well written and paced, love the narrative! If I can make a suggestion, for those of us viewing at night or on a home projector, it would be great to show article screenshots in dark mode or at least a lower contrast background (something like the warm brown color of parchment) to reduce the sudden switching between graphics / photos / video and the full blast of a 255-255-255 bright white page of paper.
@vogue43
@vogue43 Жыл бұрын
Way to ruin the new year with these terrible news. We need MOAR GPU POWAH! (at least until we can do super sampled path tracing per pixel in 8k w/o temporal and upscaling cheats)
@nekomakhea9440
@nekomakhea9440 Жыл бұрын
I choose to believe "GAAFET" is pronounced "Gay-Fet" because it sounds funny
@amptechron
@amptechron Жыл бұрын
I love this channel! Keep up the excellent work.
@BoBandits
@BoBandits Жыл бұрын
Happy 2023*CE !
@hushedupmakiki
@hushedupmakiki Жыл бұрын
I was under the impression that atomic layer deposition (ALD) was already widely used during the implementation of HfOx for gate dielectrics.
@ResidentHooook
@ResidentHooook Жыл бұрын
You would be correct.
@aleksandersuur9475
@aleksandersuur9475 Жыл бұрын
As developing new nodes gets more expensive, it'll just happen slower. Given time, paying for it is no question. Once the entire market has bought the last node and doesn't want more of it, the payoff for coming up with node n+1 becomes astronomical. Right now that's not the case, previous node is just a few years old, and node n+2 is coming soon enough, no problem to skip a node or few.
@Nagria2112
@Nagria2112 Жыл бұрын
HafniumOxide is NOT a metal. its a crystaline salt like every other oxidized metal.
@tejonBiker
@tejonBiker Жыл бұрын
Nice video, this info reminds me some words in the datasheets of some discrete power semiconductors transistors like: TrenchFET (Vishay), HexFET (Infineon-Int.Rect), SuperMesh (ST), HiperFET (IXYS) and CM2 (CREE). Some techonologies are for lowering the RDS_on and other to withstand high open voltage (some mosfet are rated to 1.7 kV OMG!)
@favesongslist
@favesongslist Жыл бұрын
It was great when the first HexFETs came out by IR, I quickly used them in my designs. Happy 2023 to you.
@tejonBiker
@tejonBiker Жыл бұрын
@@favesongslist Happy new year, I think HexFET was like (more or less) GaN or SiC of today
@favesongslist
@favesongslist Жыл бұрын
@@tejonBiker Yes it was, It was a big improvement at the time.
@Gameboygenius
@Gameboygenius Жыл бұрын
I always thought HexFET sounded weird but didn't look it up until today. Turns out that it indeed consists of a hexagonal lattice as the name might imply. Zeptobars even has a die shot of it.
@beatrute2677
@beatrute2677 Жыл бұрын
in spite of all the terrible going on in the world, its things like this that make you think that its really a great time to be alive and seeing all this happen.
@Noise-Bomb
@Noise-Bomb Жыл бұрын
Well, statistically speaking there was no better time to be alive. Never has a larger percentage of the human population lived in peace, didn't starve and so on. Problem is that nowadays you have the means to inform you about all the crap that happens anyways.
@klausschmidt982
@klausschmidt982 Жыл бұрын
@@Noise-Bomb that is true but human brains are wired to react far stronger to threats and negative emotions than positive ones. Its a beneficial survival strategy in the wild but anachronistic in modern society
@oneman7094
@oneman7094 Жыл бұрын
Is there an online course or book that I can use to learn all this? I really like your videos but they are not structured. Would gladly pay btw.
@Everfalling
@Everfalling Жыл бұрын
i feel like if programmers wrote more efficient code we wouldn't need so much computing power. there's probably a lot we can do in terms of WHAT gets processed rather than HOW it gets processed.
@ralfbaechle
@ralfbaechle Жыл бұрын
Executive summary: Great video! As a software person working closeto hardware I know much of the information in your video but not necessarily the background such as history or who invented what an its great to see all this information to be presente in around 15 min. Considering you also need to edit etc. these videos I'm sure you have Snowwhite's dwarves and many more minions working for you in the background ;-)
@justindressler5992
@justindressler5992 Жыл бұрын
The true geneous of FinFET was it allowed scaling using traditional equipment. The next generation when likely be far to complicated and difficult to yield to be economically viable apart for millitary applications. The next evolution to home computing will be multi processor systems and a return to multi GPU architecture. As for improved efficiency we are at the end.
@mytech6779
@mytech6779 Жыл бұрын
Hopefully N2 has good yield. Unless there is a major improvment in power consumption, smaller chiplets with high yield coefficient will be needed for any significant advance in value to the end user. It has been almost 10 years since N32 and I still don't see much incentive to upgrade, as someone that had a home computer all through the '90s when 2 years without an upgrade was a long stretch,that is shocking. A decade for few more cores that I rarely need, maybe 20% boost in clock speed, AVX512, compatibility with slightly improved motherboards (PCIe and DRAM generation bump), and maybe 5% energy savings [whole machine], all for the low low price of 3 times what I paid for the n32 based machine.
@footsorebird0365
@footsorebird0365 Жыл бұрын
Great video. But all this talk about transistors and I have zero clue on how they actually work. could you give a demonstration on logic and how transistors function and just basics to how to get multiple of them to work simultaneously like in a processor? Ive some demos on this using basic logic functions using Minecraft or a basic demo in my python class, but I’m just wondering like how it works on these Silicon transistors.
@kazedcat
@kazedcat Жыл бұрын
Transistor are tiny on off switches. If you put voltage on the gate it turns on the source to drain line. Imagine you have a redstone line with a cut off device in between. When you put a redstone signal to the side of the device it cuts off the redstone line. I believe this is how locking relay works. If you put a redstone relay in between a redstone line then put a strong signal to the side it locks the relay and cut the redstone line.
@volvo09
@volvo09 Жыл бұрын
I believe he has a transistor history video.
@thep751
@thep751 Жыл бұрын
Hard to explain it all in a chat post, people actually go to school for several years getting a degree in computer engineering to understand it. But like the post above said, a cmos transistor is simply a voltage controlled switch, put a positive voltage on the gate, you turn on the switch and let the current flow from source to drain terminal of the transistor. Once you have a switch, you can build all kinds of logic circuits to perform invert/and/or functions and eventually build very complex system that performs complex logical function. There are many other concepts like finite state machine/touring machine that you need to fully understand how computer works. It requires ability to remember and save data or code that can denote a state and be able to move from one state to the next to perform any computational algorithm. But in short that one switch is the building block to create all these elements (logic gates and memory or sequential clocked controlled data storage components). You put all these things together, you can build a very complxed computation automata machine that akin to modern CPU today.
@footsorebird0365
@footsorebird0365 Жыл бұрын
@@thep751 thanks!
@dougaltolan3017
@dougaltolan3017 Жыл бұрын
One vitally important note: You need 2 logic functions. One that can combine 2 signals (such as AND or OR, but not XOR), and an inverting function (NOT). Because of this, the transistors in CPU are designed such that a logic 1 signal at the gate will stop the conduction through the chanel. Remember the name of these transistors: Field Effect Transistors. It is the electric field around the gate connection that gives the name. Referring to the drawings of the planar transistors... Source, drain, chanel, and gate. With no signal at the gate, electrons can flow through the chanel from source to drain. If the gate is charged with electrons, they repel the electrons that try to flow from the source, meaning they no longer reach the drain. So the transistor has provided the NOT function. If, instead of a single gate between source and drain, you put 2 gates, charging either gate (or both gates) will stop electrons reaching the drain. That will provide a NOR function (same as OR, but with the output inverted). Note that FETs can be designed to operate in many different modes depending on the chemical doping of the source, drain and chanel. Above i described one where negative (surplus electrons) gate charge will stop the flow, they can be made such that a gate charge is required to enable flow (by assisting electrons to overcome the chemical doping). They can be made so that it is positive charge that does the switching too.
@tomtomtomtom691
@tomtomtomtom691 Жыл бұрын
I like Hu Cunming’s book about the basics of semiconductor devices
@Palmit_
@Palmit_ Жыл бұрын
back in my school days (80's) asked my teacher why dont cars park themselves? My Teacher (Alan Bleasedale, i'll never forget you sir) replied.. "its already possible.. But it's a lot of cost. putting too much advancement into products that people cant realistically afford leaves a void. yes you a great thing, but you have no customers"
@Palmit_
@Palmit_ Жыл бұрын
edit: "yes you HAVE a great thing, but you have no customers"
@raifikarj6698
@raifikarj6698 Жыл бұрын
@@Palmit_ Yeah The same Fallacy present in all humanity lives there is many thing that or so called Artifacts has been created in the past that amaze us still this day but usually they only exist a few and only leader of a tribe, nation or a wealthy man in a nation with particular interest can afford it. Economic feasibility is what hold back innovations.
@wazborn321
@wazborn321 Жыл бұрын
Long time subscriber but first time commenting, your videos has inspired me to go back to university to study electrical engineering as an adult learner. Thank you so much ❤
@nullbeyondo
@nullbeyondo Жыл бұрын
@whatapk.17078 Do people who make these bots think people are idiots or something?
@Pleplerhep
@Pleplerhep Жыл бұрын
And now in 2023 we have gfxs with 4nm processors, everytime we think we hit the wall, some geniuses finds a new way.
@johndoh5182
@johndoh5182 Жыл бұрын
Almost all of TSMC's customers have cut back orders for this next year. Economics is pushing costs too high and many customers aren't buying anymore. But, TSMC has been making very large profit margins as they've kept raising the price for their advanced nodes and this is why chiplet design is going to become common. Not all types of components in these ICs scale down in size past a certain point and in reference to TSMC, this happens around their 7nm node, so companies like AMD who make graphics processors and CPUs have moved a lot of the circuits that don't scale down in size onto another chiplet of a less advanced node. In the case of their new Zen 4 processors they have core chiplets that are on a 5nm node and they have a die that deals with I/O for the most part on another chip. For all modern CPUs for PC these chips get mounted onto a tiny PCB and a metal cover goes over them and that is the CPU. Something like a Zen 4 8 core CPU has 2 chiplets, one 8 core chiplet and 1 I/O chiplet (CCD and IOD) and in the case of a 12 or 16 core CPU there are two 8 core chiplets and 1 IOD. But here is where it gets interesting. TSMC and AMD have produced CPUs that have cache on a die that sits directly on top of the core chiplet and this gets called Vcache or 3D cache. There are metal conductors, like very fine wires maybe a little wider than a hair that runs between the core chiplet and the cache stacked on top of it. This came out with Zen 3 for a single part, the 5800X3D and it benefits gaming and programs that work with very large data sets, mostly in the world of scientific computing and modeling. It also came out with a line of server CPUs. While it's true that these more advanced nodes are more expensive, it's also true that TSMC is gouging its customers who can't do anything about it because TSMC is the only company making nodes like 5nm, 4nm and very soon 3nm that can ALSO clock at very high speeds. Intel is supposed to have their 4nm node ready this year and will release products on it and this puts SOME competition back into the market. Samsung as said is starting to produce 3nm, but Samsung nodes can't clock at high speeds which is critical for High Speed Compute (HPC) devices like servers, PCs and some other high speed electronics. Not a smart phone. That's not an HPC device. Those ICs are clocked a lot slower for power efficiency which is what Samsung nodes are good for. Now, how this could all play out in the future is if TSMC doesn't get the hint that they need to lower prices, a company like AMD could start making CPUs using 3nm for the core chiplets, but move L3 cache off the core chiplets ALTOGETHER and stack it over the core chiplets, using making a 6nm or 7nm process node. L3 cache is the slowest cache in a CPU so it CAN be stacked and take almost no performance penalty for doing so. So now for your cores you already have 2 different die, one stacked on top of the other, and then have the IOD for comms off the CPU and of course that IOD would remain on a 6nm node like it is now. This would make these CPUs very complex, but necessary if TSMC is going to continue to gouge its customers. And TSMC IS gouging their customers. They're making very large record profits as companies often do when they have no competition. So at the end of this video when the discussion was these nodes like 3nm may cost too much, the point is really TSMC is making it cost too much, and the same is true with their 5nm node. Nvidia is using TSMC N4 for their new line of graphics cards, the 4000 series or Ada Lovelace, same thing. Their prices have taken a large jump. AMD is also using TSMC to make their new line of 7000 series RDNA 4 GPUs, but they're using TSMC N5 and N6, where N6 is cheaper than N5, and AMD is the first company to every make a graphics processor be multiple chips, at least for the PC. AMD has moved a bit of cache onto 6nm chiplets right beside the graphics compute chiplet, and this allows AMD to keep their use of TSMC 5nm (N5) to a minimum. And TSMC N6 is really a 7nm node that's enhanced so it's priced more like their 7nm node, N7. Intel is moving to chiplets for their next line of CPUs. They've confirmed it. They also expect to use their 4nm node and also TSMC nodes together in this chiplet based CPU. I'm really interested to see this because it's going to be two big jumps in technology by Intel over the last 3 years. The first was moving to a hybrid core architecture where they use a form of big-little for their cores (big and little cores) and now they're moving to chiplets like AMD. AMD and Intel are really close to each other in compute power, with Intel having an advantage when they can get a lot of little cores (e cores) into a CPU and give it a higher core count compared to its AMD counterpart. Intel also added hardware acceleration to their CPUs. AMD will probably need to get hardware acceleration added ASAP and also move to a hybrid architecture of big-little. It allows for more thread processing in a smaller space. So yes, these nodes cost a lot but this is partly due to a TSMC money grab and this could be put in check if Intel competes with their 4nm node (in fact one customer has already left TSMC to use Intel) and ESPECIALLY if Intel gets to 2nm first, and they could. But this price gouging by TSMC has ALSO led to AMD doing some creative stuff which has also pushed Intel into doing creative stuff and the consumer is better off because of what happened but now we all need TSMC to drop their prices.
@grizzomble
@grizzomble Жыл бұрын
HPC doesn't use high frequency. The fastest computer in the world clocks at 2GHz. GPUs are increasingly important in that space and they clock even lower.
@johndoh5182
@johndoh5182 Жыл бұрын
@@grizzomble They run as fast as they can. Their limitation is power consumption. When a CPU runs that slow it's usually because it has to fit within a certain power budget. The Frontier supercomputer made with AMD graphics and EPYC CPUs have 64 core CPUs in it. The BASE clock is 2GHz. Yeah, it's 64 cores in a single package. This is for power efficiency. But its BOOST clock 3.5GHz I'm pretty sure that boost clocks aren't disabled in that system. However HPC is MANY systems around the world and I generally meant that for any device that can be used for complex computing which also means PCs, AND by definition PCs CAN be part of an HPC configuration because compute can be distributed. So, for every ONE system that might be restricted in clock speed there are at least 10,000 that aren't. It's a silly point because this video is really about this very topic really, because node shrinks are for two reasons, power reduction and improving transistor density, and companies take advantage of both. Back in the olden days when even a server CPU only had 8 cores or less, those CPUs were clocked as fast as possible and in fact that's what partially made a server CPU, higher clock speeds and more power consumption, but a switch happened when process nodes allowed server CPU to start including 16+ cores in them, to where you COULDN'T clock them as fast as possible because the power consumption would be too great in a single package. So what does HPC use? Whatever it can.
@465maltbie
@465maltbie Жыл бұрын
Thanks for that explanation, I kind of actually understood this one a bit. Like in buildings, each floor you build comes free as you pay for the lot size only once. But the higher you go the more they cost per floor. Charles
@Gameboygenius
@Gameboygenius Жыл бұрын
Kind of, kind of not. In architecture, each new floor costs marginally so little compared to the marginal value it adds that it makes sense to build higher. A more apt analogy is that building higher was what they did before hitting the limitations described in the video. So finfets is as if now everyone would have to build cantilever buildings or buildings with holes in them to pass wind flow, to compensate for not being able to build higher. So in my opinion examples of "finfet buildings" might be The Link in Dubai (cantilever skybridge between two buildings) or 432 Park Avenue in NYC (a very tall building in comparison to its footprint.)
@panda4247
@panda4247 Жыл бұрын
With the last litography when you have multiple channels per gate... you can't control those channels individually then, right? What are the applications of that?
@jrdnjlly
@jrdnjlly Жыл бұрын
Always the best-est
@mgronich948
@mgronich948 Жыл бұрын
Could you make a video on the cost of Chips manufactured in China vs the US (when identical devices are availble)? One KZbin channel said chips for washing machines are 4X cheaper when made in China/taiwan. THis seems large. But some time ago, I'd heard a TSMC exec say chips produced in Ariz will be more expensive than the same chip made in Taiwan. (To the point where Ariz chips will have close to zero profit.) Why? is salary the reason? The other story is that US customers for TSMC (apple, Nvidia, AMD , qualcom etc) will not pay more for chips made in Ariz vs Taiwan. And this might wipe out any profit for TSMC. If true how is he Ariz FAB economically viable? If TSMC doesn't make a profit in Ariz, how will the company fund R/D?
@favesongslist
@favesongslist Жыл бұрын
The US military are desperate for TSCM to produce chips in the USA, I am sure they will buy everything that TSCM can produce. Likewise with Samson that is spending currently almost $200Billion around Austin Tx. Also both Tesla and SpaceX are eager to buy in quantity from both fab companies.
@Struckgold
@Struckgold Жыл бұрын
DARPA again, maybe a good subject for a video would be the 'hidden hand' of government in chip manufacturing?
@In20xx
@In20xx Жыл бұрын
Protean transistors where each gate is a protean? Genetic engineering industry could help with that. Protean folding comprehensions has made a recent giant leap. Our cells use proteins like machines. We can use proteins like electronic circuits.
@Rudenbehr
@Rudenbehr Жыл бұрын
"We are coming to the end of lithography... who will pay for it all" China will throw its entire economic might behind anyone who has leading semiconductor knowledge. Paying for it is only an American issue (until recently with CHIPS act)
@LiftPizzas
@LiftPizzas Жыл бұрын
"Hu's team invented it." "I'm asking YOU whose team invented it!"
@Fiercesoulking
@Fiercesoulking Жыл бұрын
My thoughts on this are in the 90s it was already clear we see the end and I was still a pupil but one way I saw was 3D CPus . Yes I know like he said they are already do some more layers on the CPU/GPU these days but you can't go far with this because you trap the heat inside with this. I watched a video on youtube about a different type of transistors which solve this by not blocking the current but just switch over basically you have 2 inputs and 2 outputs with something like this it would be possible. The down side like he said such a unit would have 100th if not 1000th of layers basically ^3 the amount of transistors but even more the costs . This is not a consumer product.
@Kapalek84
@Kapalek84 Жыл бұрын
That was awesome video! Thanks and happy new year! I think at the moment the computing power in smartphones is sufficient to do all things I could think of. We are lucky that physics allows to produce stuff like modern smartphones without spending too much money, this limit could have been reached couple of years ago and that would mean laggy gps, slow low res youtube and no 3d smartphone graphics and no refresh rates above 60hz, with all mentioned stuff being available before that limit I am not worried too much. I might be a bit of a oldtimer (I just had my birthday today finished 38 years)
@deamit6225
@deamit6225 Жыл бұрын
i wonder if in these price chart inflation is already calculated into it
@MeetKevin782
@MeetKevin782 Жыл бұрын
Let's chat Whatsapp ☝️☝️
@SantanuProductions
@SantanuProductions Жыл бұрын
While packing more transistors in a small space is already happening, clubbing quantum computing with it will add a new dimension to extreme computing chips in the near future. Imagine every nano chip is a super computer with terabytes of memory!
@MeetKevin782
@MeetKevin782 Жыл бұрын
Let's chat Whatsapp ☝️☝️
@javenturner1
@javenturner1 Жыл бұрын
I really enjoy these videos. Can I ask if you have thought about doing a video on the rapid development of SerDes for semiconductors. As we continue to move bigger and bigger amounts of data we are going to need better solutions to move data as quickly as possible. I have been reading a lot about a company called Alphawave IP recently who do some really interesting and work were founded by ex intel employees.
@CosmosNut
@CosmosNut Жыл бұрын
Another great video. Thank you for the effort you put into these and happy New Year 2023.
@anthonyrivers8395
@anthonyrivers8395 Жыл бұрын
This is all amazing!! we live in awesome sometimes. Thanks Asianometry.
@jc6218
@jc6218 Жыл бұрын
We've been dealing with the exclusionary aspect of electrons (i.e. one signal on one lead) and how to work around it for a long time now. I truly believe photonics will be the future. The tech is still very immature, but we're already got photonic AI chips and photonic data relays on integrated circuits. Once we can figure out how to do the same sort of general purpose Von Neumann architecture or something analogous it will just be a matter of materials science on how to construct them at smaller and smaller scales.
@Ironclad17
@Ironclad17 Жыл бұрын
10:30 This is about a decade after Digh Hisamoto's publication.
@benzed1618
@benzed1618 7 ай бұрын
OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOoooooooooooooooooooooooooooooooooooooooooooooooooooooo
@godfreypoon5148
@godfreypoon5148 Жыл бұрын
What did the source say to the gate? "That other guy is such a drain."
@El.Duder-ino
@El.Duder-ino Жыл бұрын
Another excellent episode from this amazing channel which deserves recognition and support, thank u for making these educational vids and keep a strong spirit and energy, go Taiwan 🇹🇼 👍
@0xEmmy
@0xEmmy Жыл бұрын
Hmmmm... The way I see it, the next step is full 3d. Not merely adding 3d features to existing 2d basic structures, but outright building those structures in 3d, floating anywhere in the volume of the chip, all the way from up against the pads, to down against the substrate. If you can additively manufacture a gate, an insulator, and a channel, you can (probably) additively manufacture a source and a drain. Maybe even a structurally weak breakaway layer to save substrate. Maybe mixing sizes on a single chip for power and stuff. Added bonus: if you can stack logic on top of itself, you need less chip area for the same device size, allowing the use of smaller (and hopefully easier) photomasks (at the expense of more of them). Though it might be time to abandon photomasks altogether in favor of scanning the pattern (resin 3d printers do this exact thing at macro-scale).
@memesfromdeepspace1075
@memesfromdeepspace1075 Жыл бұрын
How about the heat ??. Even 2d structure Make enormous heat 🤔🤔
@ImtheHitcher
@ImtheHitcher Жыл бұрын
Great video, saw you got 400k subscribers now too! I remember when you only had like 40k but the videos were the same high quality and well researched as they are now. Glad to see you finally get the viewers/subs this level of content really deserves
@Quickshot0
@Quickshot0 Жыл бұрын
Interesting to think they can make such complicated 3D structures using lithographic methods. One kind of wonders if this kind of technology would allow for some other potential things as well in due time. To bad the cost is only going up these days though, I guess they will have to find a way to reduce costs in future or accept things starting to slow down once more. Maybe some kind of self assembly technology could help here? Or will it be yet another extra cost? Well I guess we'll find out.
@howwitty
@howwitty Жыл бұрын
Thanks for making this! It's not just a fad.
@ChristianStout
@ChristianStout Жыл бұрын
I wonder if there's any economic sense in back-porting GAAFETs to larger nodes like 28nm. Maybe something like SRAM density could be increased enough to open up new use cases on those processes.
@lidarman2
@lidarman2 Жыл бұрын
@12:25, looks like a dental x-ray
@REOsama
@REOsama Жыл бұрын
That box illustration was.......a treat
@yewmacham579
@yewmacham579 Жыл бұрын
Th animation is a definite major boost in your videos, keep it going !
@jimurrata6785
@jimurrata6785 Жыл бұрын
Cutting edge Cardboard Animation Design !
@geephlips
@geephlips Жыл бұрын
Wait, so you’re saying that the invention that led to today’s super fast processors was driven by the US government and made by scientists at a state university? All kidding aside, this has been the case since the cold war and the space program drove innovation in smaller solid state components and integrated circuits … and nearly every other piece of technology inside the phone I’m writing this comment on.
@geephlips
@geephlips Жыл бұрын
@spaz Huh?
@Gameboygenius
@Gameboygenius Жыл бұрын
@@geephlips what spaz is saying is basically that just because the government successfully funded semiconductor development at one point doesn't mean the government should always do that.
@RodrigoLobosChile
@RodrigoLobosChile Жыл бұрын
WOW.. the end of lithography...😳
@FedericoTrentonGame
@FedericoTrentonGame Жыл бұрын
The good news is that once we’ll reach the electron minimum size, they’ll be forced to optimize the software and instruction sets rather than just shrink and add more transistors.
@nickrhill
@nickrhill Жыл бұрын
The smaller transistors used less power because their capacitance was lower. There was a smaller amount of energy needed to transition the gate from off to on (or vice versa). This is what has enabled computers to become more powerful whilst not using more energy.
@jamesocker5235
@jamesocker5235 Жыл бұрын
Awesome content as usual, spent 16 years in semi as equipment tech, late 90s to early 2000s started in military ceramic packaging and ended up in R&d fab dry etch, your content is fantastic thanks
@supremebeme
@supremebeme Жыл бұрын
Congrats on 400K subs!
@Linuxhippy2
@Linuxhippy2 Жыл бұрын
I might be wrong, but wasn't 20nm (instead of 28) the last node with planar transistors (for all fabs but intel)? It didn't work out well, so 16nm of TSMC/Samsung were basically 20nm BEOL with "16nm" FinNET based FEOL.
@chavdarnaidenov2661
@chavdarnaidenov2661 Жыл бұрын
Hu Chenming, born in Beijing in 1947. Grew up in Taiwan, earned a scholarship for Berkeley UC. Chinese talent saved the proud American industry. Probably for the last time.
@kakistocracyusa
@kakistocracyusa Жыл бұрын
Your attributions are again entirely inaccurate. Neither Berkeley nor DARPA resolved or developed these concepts. These SOI solution was already fully known.
@bazoo513
@bazoo513 Жыл бұрын
~ 7:30 - I recall reading on "trench transistor" proposals in, I believe, McGraw-Hill's "Electronics" journal.
@valeriopreite7573
@valeriopreite7573 Жыл бұрын
Do their I(V_DS) and I(V_GS) differ significantly from those of traditional MOSFETs?
@samoldfield5220
@samoldfield5220 Жыл бұрын
I have a question that many of your recent videos have got me thinking about. So 28nm is this big deal, and the "chip shortage" was all because for a whole bunch of applications (cars, military) smaller node size isn't actually desirable, but the economics has pushed everyone into the smaller node size because those are the chips that make the money (CPUs, graphics cards, AI). But what can you actually do with 28nm beyond the applications that specifically require it? Like if in an alternate universe we stopped reducing node sizes at 28nm and focused on improvements in layout from that point on, how fast would a rich gamer's beast be? What would a smartphone be able to do? Memory, storage, networking, etc.. How much are we non-AI builders getting out of smaller node sizes?
@815TypeSirius
@815TypeSirius Жыл бұрын
This comment was made on 3nm
@jonathanozik5442
@jonathanozik5442 Жыл бұрын
“By 2020, there will be chips everywhere because chips will cost a penny. After 2020, it will be the post-silicon era, with quantum computing.” This is how theoretical physicist and futurist Michio Kaku opened his speech. Ah, I miss the good old days of optimism
@karimchaffai5922
@karimchaffai5922 Жыл бұрын
it's a pretty good prediction, most chips cost very little
@jonathanozik5442
@jonathanozik5442 Жыл бұрын
@@karimchaffai5922 "Moore's second law, says that the cost of a semiconductor chip fabrication plant doubles every four years. As of 2015, the price had already reached about 14 billion US dollars." -- Wiki
@Gameboygenius
@Gameboygenius Жыл бұрын
Practical quantum computers, like fusion energy will always be x years into the future.
@TheSdog9
@TheSdog9 Жыл бұрын
Not to be that 90s guy, but surely the consumer market demand for increased transistor density must be peaking? I guess it depends if there's a market for chucking a PS4 gaming system equivalent on a phone? A small one maybe... but I wouldn't pay $$$ for it.
@stevengill1736
@stevengill1736 Жыл бұрын
FINFET just rolls off the tongue, n'est ce pas? Seriously, another invention gifted to us by public research (DARPA again)....
@ShaunieDale
@ShaunieDale Жыл бұрын
It’s nearly twenty years since I was in the semiconductor industry, your videos give me an excellent insight into modern developments. Thank you for taking the time to make them.
@tehpanda64
@tehpanda64 Жыл бұрын
Can you make a video to explain what is causing the price per transistor to go up? I find it hard to believe it is raising faster than the transistors per area increases.
@tommy2cents492
@tommy2cents492 Жыл бұрын
I think it is related to the number of processing steps that is needed to create the device. In the old days one could build up a device 'from the ground up': litho, etch, deposit. Now, with these more complex geometries, additional processing steps, sacrificial layers/patterns are needed to create the final pattern. Moore's law is an economic scaling law... more than a 'resolution scaling law', although in the early days these matched.
@favesongslist
@favesongslist Жыл бұрын
@@tommy2cents492 Good reply. I also suggest cost will accelerate upwards for some time as we transition towards many new materials that the industry is experimenting with, Until they mature.
@benyomovod6904
@benyomovod6904 7 ай бұрын
A chip is just carefully arranged Sand, made ny a lifeform consisting of80 percent water and complex arranged carbon
@guard13007
@guard13007 Жыл бұрын
Weird that you start with claiming Intel innovated anything. They kinda stopped doing that half a decade ago. :P
@Rationalific
@Rationalific Жыл бұрын
It's amazing how individuals are so important for progress. I believe if we removed the top 1,000 innovators throughout all of history, we'd probably be living in something like the middle ages. And if we removed the top 10,000 innovators throughout history, we'd probably by living in something like the stone age.
@maitotechlab9035
@maitotechlab9035 5 күн бұрын
Who will pay for the next finfet? Ai will, well anyone who need more ai power and there a bunch of people looking for, maybe ai will be the tool to find whats the next step, nanometre era is about to end :D
@Bluesoul2012
@Bluesoul2012 Жыл бұрын
Happy New Year, thanks for all the hard work you put it in these videos, take care
@hinz1
@hinz1 Жыл бұрын
So, if atomic layer deposition works as semiconductor active material, instead of waver from grown single crystal, then you can basically build 3D circuits, with as many layers of transistors above each other, if you can remove the heat? So finally 3D semiconductors, perhaps with extremely low clock rate, so no thermal issues, but with shitloads of transistors? Basically similar to how the brain works.
@KoenZyxYssel
@KoenZyxYssel Жыл бұрын
How did computer science fall into the trap of manually completing the same task over and over? Makes me sad... Also that silicon stretching sounds a lot like premature optimization... Thanks for the video though, some interesting stuff there.
@blazbohinc4964
@blazbohinc4964 Жыл бұрын
Who'll pay for it? lol.. they'll have to cut profit maragins a bit, bu hu hu. There's enough money for everything. But everyone's greedy
@alansilverman8500
@alansilverman8500 Жыл бұрын
I remember there was a 1979 Scientific American article about 3-D chip architecture...but cooling made it impractical!
@doctor9228
@doctor9228 Жыл бұрын
Very interesting video, especially thanks for subtitles. But please dont punch the microphone during recording
@hahahano2796
@hahahano2796 Жыл бұрын
"we can't pay for it... even though all of the costs are passed onto the consumer..." Odd that you mention concept without execution as a way to, apparently, minimize prior white papers despite nearly all of science being very concerned with reasonable origins of concepts with white papers and publications being counted among recognized origins.
@rightousray2
@rightousray2 Жыл бұрын
Good summary and video. FYI, your arrow showed the FIN space cd, not the FIN pitch.
@yspegel
@yspegel Жыл бұрын
Their own greed works against them now. It's not the cost that is the problem, it's the financial structure of ever having to make a higher profit % or losing market share value. Making only the richest richer and leaving no room for development/progress. But that's a whole different topic.
@elkcircle7245
@elkcircle7245 Жыл бұрын
Excellent work on explaining a highly complex subject.
A Graphene Transistor Breakthrough?
15:23
Asianometry
Рет қаралды 120 М.
The Gate-All-Around Transistor is Coming
15:44
Asianometry
Рет қаралды 469 М.
How Strong is Tin Foil? 💪
00:26
Preston
Рет қаралды 132 МЛН
pumpkins #shorts
00:39
Mr DegrEE
Рет қаралды 21 МЛН
Ozoda - Lada ( Ko’k jiguli 2 )
06:07
Ozoda
Рет қаралды 15 МЛН
AI’s Hardware Problem
16:47
Asianometry
Рет қаралды 628 М.
Sony's Breakthrough Color TV
24:52
Asianometry
Рет қаралды 225 М.
I used to hate QR codes. But they're actually genius
35:13
Veritasium
Рет қаралды 1,1 МЛН
The Soviet Oil Juggernaut: How It All Began
18:48
Asianometry
Рет қаралды 354 М.
“The Decision of the Century”: Choosing EUV Lithography
24:28
Asianometry
Рет қаралды 233 М.
TSMC FinFlex: How Chips are made Worse to get Better
24:20
High Yield
Рет қаралды 137 М.
The Transistor That Won the World
24:44
Asianometry
Рет қаралды 186 М.
Why Every 3nm Process Node is Different
14:04
Asianometry
Рет қаралды 154 М.
Explaining RISC-V: An x86 & ARM Alternative
14:24
ExplainingComputers
Рет қаралды 455 М.
How Strong is Tin Foil? 💪
00:26
Preston
Рет қаралды 132 МЛН