Heterogeneous Integration Using Organic Interposer Technology

  Рет қаралды 3,334

AmkorTechnology

AmkorTechnology

Күн бұрын

As the costs of advanced node silicon have risen sharply with the 7 and 5-nanometer nodes, advanced packaging is coming to a crossroad where it is no longer fiscally prudent to pack all desired functionality into a single die. While single-die packages will still be around, the high-end market is shifting towards multiple-die packages to reduce overall costs and improve functionality. This shift is not just to add local memory, such as the addition of high-bandwidth memory (HBM) module(s) to an application-specific integrated circuit (ASIC) die, but also to separate what would have been a monolithic ASIC in prior generations to its constituent parts, such as the central processing unit (CPU) cores, serializer/deserializer (SerDes) and input/output (I/O) blocks. By splitting the monolithic die into smaller functional blocks, costs can be reduced through improved wafer yield on the smaller CPU cores and re-using older, vetted intellectual property (IP) from a prior silicon node for the I/O and SerDes that do not necessarily need the most advanced silicon node.
The traditional approach to fine-pitch multi-die packaging has been silicon interposers with Through Silicon Vias (TSVs). While the TSV approach has ushered in new performance levels never seen before, one of the major limitations is the inability to scale with higher and higher frequencies. The maximum frequency that a silicon interposer can handle between die-to-die interconnects is approximately 4 GHz due to the parasitics of the silicon. As die-to-die interconnects increase their bandwidth to higher and higher levels, the 4-6 GHz limitation can become a major bottleneck. Eliminating the silicon and silicon dioxide dielectrics and using polymers as the dielectric and the interposer itself can solve this problem. This video presentation will discuss how to use High-Density Fan-Out (HDFO) technology to replace the TSV-bearing silicon interposer with an organic interposer to enable higher bandwidth die-to-die interconnects for heterogeneous integration.
Presenter: George J. Scott, Director - Package Development at Amkor Technology
Presented at ECTC 2020

Пікірлер
A New Era of Advanced Package Technology   Mike Kelly ISES Webinar
46:19
AmkorTechnology
Рет қаралды 1,9 М.
Я нашел кто меня пранкует!
00:51
Аришнев
Рет қаралды 2,1 МЛН
Always be more smart #shorts
00:32
Jin and Hattie
Рет қаралды 41 МЛН
Жайдарман | Туған күн 2024 | Алматы
2:22:55
Jaidarman OFFICIAL / JCI
Рет қаралды 1,5 МЛН
Satellite monitoring of Rainfall from VIS⧸IR and MW sensors by Dr. Charu Singh
1:06:59
IIRS ISRO Digital Learning Programme
Рет қаралды 4
Silicon Photonics: The Next Silicon Revolution?
15:45
Asianometry
Рет қаралды 411 М.
Packaging Part 3 - Silicon Interposer
15:59
Navid Asadi
Рет қаралды 36 М.
HBM3 In The Data Center
15:12
Semiconductor Engineering
Рет қаралды 5 М.
Amkor and the Semiconductor Industry Overview
4:38
AmkorTechnology
Рет қаралды 1,1 М.
Amkor Vietnam Overview (Extended Version)
6:14
AmkorTechnology
Рет қаралды 785
Introduction to Wafer-Level Packaging
2:45
JCET Group Co., Ltd.
Рет қаралды 41 М.
Glass Interposer 비에스피
1:52
비에스피
Рет қаралды 3,1 М.
iPhone 16 с инновационным аккумулятором
0:45
ÉЖИ АКСЁНОВ
Рет қаралды 906 М.
Игровой Комп с Авито за 4500р
1:00
ЖЕЛЕЗНЫЙ КОРОЛЬ
Рет қаралды 1,7 МЛН
Урна с айфонами!
0:30
По ту сторону Гугла
Рет қаралды 8 МЛН
После ввода кода - протирайте панель
0:18
cute mini iphone
0:34
승비니 Seungbini
Рет қаралды 6 МЛН