kabogoh jauh-mimin denok

  Рет қаралды 1,058,863

garang82

garang82

Күн бұрын

kabogohna jauh euuuuuuy....

Пікірлер: 153
@Anahzayra9
@Anahzayra9 2 жыл бұрын
Boga kabogoh jauh Meuntas laut leuweung gunung Tapi apél teu bingung Cukup hallo na telepon Kuring di pulau Jawa Manéhna pulau Sumatra Lamun malem mingguan Mojok via SMS-an Jelema palinter Dunya beuki maju Najan urang pajauh Bisa ngobrol unggal waktu Tiap SMS-an atawa nelepon Teu jadi pikiran Kajeun pulsa kabobolan Nu penting mah bisa ngobrol jeung manéhna Boga kabogoh jauh Meuntas laut leuweung gunung Tapi apél teu bingung Cukup hallo na telepon Boga kabogoh jauh Meuntas laut leuweung gunung Tapi apél teu bingung Cukup hallo na telepon Kuring di pulau Jawa Manéhna pulau Sumatra Lamun malem mingguan Mojok via SMS-an Jelema palinter Dunya beuki maju Najan urang pajauh Bisa ngobrol unggal waktu Tiap SMS-an atawa nelepon Teu jadi pikiran Kajeun pulsa kabobolan Nu penting mah bisa ngobrol jeung manéhna Jelema palinter Dunya beuki maju Najan urang pajauh Bisa ngobrol unggal waktu Tiap SMS-an atawa nelepon Teu jadi pikiran Kajeun pulsa kabobolan Nu penting mah bisa ngobrol jeung manéhna Boga kabogoh jauh Meuntas laut leuweung gunung Tapi apél teu bingung Cukup hallo na telepon
@yayatazhariazhari
@yayatazhariazhari 11 ай бұрын
Qqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqq11qq11111111111111111111111111111111111111111111111111q1111111q1111111111111111111111111111111111111111111😅😮😅😅😅😮😅😮😅😮😅😮😅😮11111111111111111
@davietdicky4455
@davietdicky4455 2 жыл бұрын
Salam dari cenel nya Rusdy oyang jngn lupa nntn terus di cenel nya Rusdy oyang
@rina6468
@rina6468 8 жыл бұрын
Inget waktu hajatan duh jd kngen lmbur ,,,hehehe
@oetjoepsudirma6164
@oetjoepsudirma6164 5 жыл бұрын
2019 hadir.
@raismaulana7997
@raismaulana7997 Жыл бұрын
Aku suka kabogoh jauuuh
@nanasonson6672
@nanasonson6672 Жыл бұрын
MasyaAllah hanjakal kabogoh aku di saudi arabia
@buahbuahsegar4249
@buahbuahsegar4249 5 жыл бұрын
Aki aki joged na siga hayam katelo
@ayasofia8789
@ayasofia8789 7 жыл бұрын
buat bapak lurah mangga kapayun
@HermansuhermanHerman-mu6bi
@HermansuhermanHerman-mu6bi Жыл бұрын
Minta kota dong ini lagi g funya uang buat beli kota ad g gartisan maf yh aku ngemis
@sumiyati3645
@sumiyati3645 2 жыл бұрын
mentuuuuul2 coi ok tenan you
@kingandrew1763
@kingandrew1763 7 жыл бұрын
mangga kanu gaduh hajat k payun!!
@xiaomixiaomi1330
@xiaomixiaomi1330 2 жыл бұрын
PONGDUT memang 👍👍
@aabudy-lq6gb
@aabudy-lq6gb 5 жыл бұрын
Mangga d goyang achhhhh tong hilap nyawer
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Viadburhisdbubjbksrbisebisdnodnosdnosdbksbdksdjbjs
@suburwidadi8508
@suburwidadi8508 2 жыл бұрын
Lanjut mawar bodas
@fadiyaseptiari2164
@fadiyaseptiari2164 3 жыл бұрын
MSda buiyesda buiy
@laboldcslaboldcs3594
@laboldcslaboldcs3594 5 жыл бұрын
mancap bro goyang ah..
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Jiarnkaenkmaldmkad kadmkaemoadmoadmoarmosrnknksdn
@afgannurgani1087
@afgannurgani1087 5 жыл бұрын
Mantul yutub
@denissiipbetulmasbrodenis5805
@denissiipbetulmasbrodenis5805 5 жыл бұрын
Kepa bpk kaplosek.ke amanan trimakasih atas partisi pasi nya🤣😂
@sherllyns.a245
@sherllyns.a245 6 жыл бұрын
Lagu yg sangat berguna
@marjukijuki7222
@marjukijuki7222 5 жыл бұрын
Msa cih
@chachasung7360
@chachasung7360 5 жыл бұрын
eta suling meni tiis kena ceuli😘
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Cukup halow na telpon Kuring di pilow Jawa lamun malam migiwan
@SitiFatimah-lb7hr
@SitiFatimah-lb7hr 6 ай бұрын
Jiweniwenia
@chungkringsyarip3917
@chungkringsyarip3917 4 жыл бұрын
Hayoh tuang hela hhaha
@dipadipa2161
@dipadipa2161 3 жыл бұрын
NkSndksdnksfnknkadnks dkankad kanksrnksynihjoaenkaenoakoandosdnksndmdkdjd
@nurwakhidin8963
@nurwakhidin8963 3 жыл бұрын
@@dipadipa2161 hcuyhgkm hcuyhgkm hguyhhewsahguy
@MrKentank
@MrKentank 14 жыл бұрын
MantaP euy. . . . . . . .
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Yhiarbisrniwkosndosbr ih Nn a
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Jisjaornkanoarnosrnoams
@rasyagaming8647
@rasyagaming8647 3 жыл бұрын
Woi
@sakiramar4561
@sakiramar4561 5 жыл бұрын
Asikkkk,,, buat bos hayam
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Jiaeniweniaenisenisekosejiaejiweniweniwenubiwjowejoweiiwejiwejis
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Mjjwjenrisjeiwjrjiahianismdkamdos
@risalardiansyah8513
@risalardiansyah8513 2 жыл бұрын
Hobah
@mancingdeui5360
@mancingdeui5360 5 жыл бұрын
Urang teja minin denox gebooyy
@ajip245
@ajip245 13 жыл бұрын
mantap mantap
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Hjarnkarnkkaenksdkkaenkadnksdnka
@ririnririn2988
@ririnririn2988 5 жыл бұрын
Sawerna se'er pisan euy...
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Yanksfjisrnisdnkiaenisrjosdjisrjisrji
@wadenggol1583
@wadenggol1583 3 жыл бұрын
Hilaf tah nguenah
@IwanIwan-gv4cs
@IwanIwan-gv4cs Жыл бұрын
2009
@Y4ZChannel
@Y4ZChannel 3 жыл бұрын
So good...👍👍 I love this song .. ohh yess sir udin
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Nkadnjdnkatnksrnosfnksrnoarnosfnostkkafnkstnistnghuatnisenjtninostnistnostnisrnybusdnisnidnosrnksfnksornls
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Jiarnwornskrniwrnksnfkosfnosdjwodmoafmks
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Ybsjfndjsfnkarnoafnksgnksfnkwtnodfnksnksdgnkshiarnjiadnkznskdmkadmkafmlarmkwrnkqrmkarmowrmkarmowrmkwrmoanosrkoqmrnknj
@Kumpulan_16
@Kumpulan_16 3 жыл бұрын
Eyox
@DionaVioletsaEditz_UwU
@DionaVioletsaEditz_UwU 5 жыл бұрын
LDR-an Bae ieu mah
@vellamarst6048
@vellamarst6048 5 жыл бұрын
Tarik mang ihaaaaaa
@anithagabrielanithagabriel3696
@anithagabrielanithagabriel3696 6 жыл бұрын
jadi hyang ngiming euy😃😂
@ayahwisnutama1580
@ayahwisnutama1580 6 жыл бұрын
Anita Gabriel saLam kenal
@ayahwisnutama1580
@ayahwisnutama1580 6 жыл бұрын
Anita Gabriel salam kenal
@agam3491
@agam3491 6 жыл бұрын
Anita Gabriel mantap
@leosaputra1059
@leosaputra1059 6 жыл бұрын
Anita Gabriel
@albutro
@albutro 5 жыл бұрын
mlm
@ikbalianoaveiro
@ikbalianoaveiro 2 жыл бұрын
Halo masa lalu😭
@datukcelluler8650
@datukcelluler8650 8 жыл бұрын
yua hhh geboyyyyy
@mustofakamal2805
@mustofakamal2805 5 жыл бұрын
Ceramah
@nurwakhidin8963
@nurwakhidin8963 3 жыл бұрын
@@mustofakamal2805 hcuyhgkm hguyhhewsahguyhg
@asihpatir433
@asihpatir433 6 жыл бұрын
Hadir dari majalengka cikijing
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Moadmoadnoafmoaemlaf nkaenkaenks
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Kaenakdnksrnksdnksdnksdnksfnksrnksfnoweklarnkaenksrmkarnoarmosdmlsdmkaenomwwmlsdemkmlaeminksf
@xiaomixiaomi1330
@xiaomixiaomi1330 2 жыл бұрын
Teteh meuni gareulis euy..
@ajip245
@ajip245 12 жыл бұрын
montok boossssss
@depakaang3367
@depakaang3367 3 жыл бұрын
Tarik sis semongko
@triawibowo287
@triawibowo287 5 жыл бұрын
Sisa gusuran Saritem bro pindah panggung
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Gfsygdagvujhubscgdhuniln jbdagh
@jajatkurnia5115
@jajatkurnia5115 5 жыл бұрын
hadir
@PANCASARAGIHPRODUCTIONS
@PANCASARAGIHPRODUCTIONS 5 жыл бұрын
Mantab
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Mkaemormoaemksdmlsrmoafmosrmkarmlsrmekdoarnonoarmksrmosrmosfmosd
@dodiaries6849
@dodiaries6849 5 жыл бұрын
Yopis produktion desa sukaraja
@anandamarvel7651
@anandamarvel7651 4 жыл бұрын
Salfok talingan make roland orgen na hehe.... Tapi keren musik na teu eleh ku technisc
@amadnay2021
@amadnay2021 3 жыл бұрын
Wayang gesik
@nurwakhidin8963
@nurwakhidin8963 3 жыл бұрын
@@amadnay2021 hguyhgkm hguyhgewsahghguyvk. hguyhg
@nurwakhidin8963
@nurwakhidin8963 3 жыл бұрын
hg uyhgk. hguyhgewsahguyhghvk. hguyhgewsahguyhgkm hguyhgyhguk
@nurwakhidin8963
@nurwakhidin8963 3 жыл бұрын
@@amadnay2021 hg7yvck. hguyhgewsahg7yhgk nhguyhg
@nurwakhidin8963
@nurwakhidin8963 3 жыл бұрын
hcuyhgkm hguyhgewsahguyhgkm hguyhg
@danilmenganaybrcdanil8180
@danilmenganaybrcdanil8180 3 жыл бұрын
Mntap
@mellyaoktavyanii9457
@mellyaoktavyanii9457 5 жыл бұрын
eta duit ladang kuli pake nyawer mas bro
@citypunduan8984
@citypunduan8984 7 жыл бұрын
wasekkkk
@KELUARGABESARFKUMJ
@KELUARGABESARFKUMJ 5 жыл бұрын
Mamak
@yusufarul1236
@yusufarul1236 2 жыл бұрын
Lemot
@DiaryGeadAyi
@DiaryGeadAyi 6 жыл бұрын
Wazeeekkkj euy
@bagaspratama6567
@bagaspratama6567 6 жыл бұрын
Gead Ayi ok .
@bagaspratama6567
@bagaspratama6567 6 жыл бұрын
Gead Ayi goyang yi
@DiaryGeadAyi
@DiaryGeadAyi 6 жыл бұрын
bagas pratama gk bz kk hh
@criscamila
@criscamila 14 жыл бұрын
Beautiful!! what language it is?? Can somebody tell me???
@bayuahmadfajar8981
@bayuahmadfajar8981 7 жыл бұрын
criscamila This is Sunda language from Indonesian local language...
@aryafrilliand7805
@aryafrilliand7805 5 жыл бұрын
Boga kabogoh dekat
@nuninurhayati2982
@nuninurhayati2982 5 жыл бұрын
.......... ......... Mlml
@marjukijuki7222
@marjukijuki7222 5 жыл бұрын
Asik coy goyang ya sambil nonggeng
@ramapandita4001
@ramapandita4001 6 жыл бұрын
jadi hayang ngigeul bareung wkwkwk
@nurwakhidin8963
@nurwakhidin8963 3 жыл бұрын
hguyhgk. hguyhgewsahg7yhghguyhgk. hguyhg hg uyhg
@nurwakhidin8963
@nurwakhidin8963 3 жыл бұрын
hcuyhgkm hcuyhgkm hguyhgewsahguyhgk .hhuyhg
@candrahartatolojaya6495
@candrahartatolojaya6495 5 жыл бұрын
Ora ngerti arti ne seng penting goyang wae lah wk wk wk...
@dwisupar4376
@dwisupar4376 5 жыл бұрын
candra hartatolojaya punya pacar jauh antara pulau sumatra dngan pulau jawa tapi maslah bercinta nggak ada masalah. Sekang orang pinter semua klu kangen bisa telpon atau sms san. Walaupun pulsa kebobolan yang penting hepy
@satrio.s_
@satrio.s_ 3 жыл бұрын
Pada woy bli ngarti arti lagune tapi sing penting mah ana goyange beh wkwkwk🤣🤣😂
@asepthea433
@asepthea433 8 жыл бұрын
aduh ci udin duit mnang ngjual hyam dpke nyawer
@bagaspratama6567
@bagaspratama6567 6 жыл бұрын
Asep Thea ulah nyawer atuh
@xiaomixiaomi1330
@xiaomixiaomi1330 2 жыл бұрын
Bae duit meunang nga jual Hayam mah ulah soten duit meunang nga jual manuk 😅😂😂
@criscamila
@criscamila 14 жыл бұрын
why the guys give money o her?
@alyaoctafeniaaa2907
@alyaoctafeniaaa2907 6 жыл бұрын
criscamila because it has a culture in indonesia
@xiaomixiaomi1330
@xiaomixiaomi1330 2 жыл бұрын
Where you country criscamila..?
@udinsalodoy6481
@udinsalodoy6481 6 жыл бұрын
vagetos
@mariaayu3355
@mariaayu3355 5 жыл бұрын
Mantull eeyyy
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Nkarmksemlaemkadmlsdmkafmkarmlarmlmpasmkasmladmlsladmlaemlaemlasparmpaemosemo
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Nkadnkadneluboadnoafnkadnkadnkarni oadnlar.ksfnkaenksdnksdnmksem
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Nianernkaekae ladmkarnkarnksdnksrnkarnkan
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Ygdjhdgufdhufsgyibgdunofsg
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Tyauehfu fhujaebuxdjw ebingo gvswzja
@linakasihmu4819
@linakasihmu4819 7 жыл бұрын
lw mu donlowd video nya gmn to boss
@gotank7734
@gotank7734 5 жыл бұрын
pke app vidmed
@ernisuherni1007
@ernisuherni1007 2 жыл бұрын
Pg
@budaksunda4825
@budaksunda4825 5 жыл бұрын
Mimin denok mni montok euyyy
@sonicdrag9103
@sonicdrag9103 11 жыл бұрын
Hoyong balik lah waraas...
@kakangjani648
@kakangjani648 5 жыл бұрын
Sonic Drag bf Bf
@kakangjani648
@kakangjani648 5 жыл бұрын
Bf
@nuninurhayati2982
@nuninurhayati2982 5 жыл бұрын
Ancillllll💏💏💏💏💏
@ajip245
@ajip245 13 жыл бұрын
boga kabogoh jauh mantap gan anuannya montok
@dipadipa2161
@dipadipa2161 3 жыл бұрын
Ynaeeninisrjiwrnuiwrjowdjiwrjiwejisdjisejiwrniwenienowr
@ikbalianoaveiro
@ikbalianoaveiro 2 жыл бұрын
@@dipadipa2161 wkwkw😭kenapa cok
@aviansyah2046
@aviansyah2046 5 жыл бұрын
henceuttt euyyyy
@muhtarjumadimardiansah5980
@muhtarjumadimardiansah5980 4 жыл бұрын
hahaha parah jorang ente
@nurwakhidin8963
@nurwakhidin8963 3 жыл бұрын
@@muhtarjumadimardiansah5980 hgkm hguyhghgk. hvhguyhgewshguyhgk
@xiaomixiaomi1330
@xiaomixiaomi1330 2 жыл бұрын
Ih jorok kali sih lu emang nggak malu apa ngmong kek gtu di medsos..?
@slametslamet3434
@slametslamet3434 5 жыл бұрын
Ahaagsagsamm
@RahmatAli-tr5yi
@RahmatAli-tr5yi 6 жыл бұрын
Berita kriminal
@nurwakhidin8963
@nurwakhidin8963 3 жыл бұрын
hcuyhgkm hguyhgewsahg7yhgk hguyhg
@Jesti-y3b
@Jesti-y3b Ай бұрын
plp ppl 0
@mellyaoktavyanii9457
@mellyaoktavyanii9457 5 жыл бұрын
ulah sok jauh boga kabogohteh hese apel
@nuninurhayati2982
@nuninurhayati2982 5 жыл бұрын
Ktmuuuuuuaaanyoookkk😕😕😕😕😕😕
@nuninurhayati2982
@nuninurhayati2982 5 жыл бұрын
Okkkkyyyy
GEDUNG TUA by ITA DK mahdalena
7:04
mahdalena mahdalena
Рет қаралды 1,3 МЛН
DI NADA kegoda lanang
9:56
ferry aldiansyah
Рет қаралды 1,3 МЛН
Cute kitty gadgets 💛
00:24
TheSoul Music Family
Рет қаралды 22 МЛН
tarling bagja diri
5:28
garang82
Рет қаралды 331 М.
DIAN ANIC-KECEWA.ANICA NADA SIANG 01 SEPTEMBER 2019.LEBAK GEBANGUDIK CIREBON
8:24
Janji Satria 07.flv
6:38
mindahbudiyanto
Рет қаралды 1 МЛН
Cinta Berawan - D.I NADA
7:32
lare grage
Рет қаралды 856 М.
SALAM KANGEN _ Hj.AAS ROLANI
11:53
Delix Media
Рет қаралды 2,6 МЛН
SUSI NGAPAK - SEMBILANG PATILE TELU - OM SPN
6:40
Anggi Widjaya
Рет қаралды 694 М.
tarling kidung bumi segandung
5:59
garang82
Рет қаралды 18 М.
D.I. NADA, Dangdut Koplo, Mawar Bodas, Vocal Mimin Denok
6:25
Panggung Dangdut Indonesia
Рет қаралды 1,3 МЛН
Jodoh Sawangan - DI Nada
5:50
Sukron Oo
Рет қаралды 111 М.
BISIKAN CINTA by ITA DK  PERMANA NADA
6:18
Paoel Malik
Рет қаралды 557 М.
Cute kitty gadgets 💛
00:24
TheSoul Music Family
Рет қаралды 22 МЛН