MicroBlaze in BASYS3: Creating a Microcontroller on FPGA with Vivado & Vitis

  Рет қаралды 7,556

drselim

drselim

Күн бұрын

Пікірлер: 10
@DavidTLutz
@DavidTLutz 2 жыл бұрын
Great video. I am glad you including all the errors. Fixings errors are as much a part of the learning process as the the correct configuration and programming is. COM port worked fine with Putty in this video, contrary to what I have experienced with ADC video using the MSP430.
@drselim
@drselim 2 жыл бұрын
I'm glad that it was helpful. I replied to your comment for the msp430, I hope the jumper settings help you with it.
@Avionics1958
@Avionics1958 2 жыл бұрын
Thank you beautifully explained. I liked the fact you left all the errors so we can see what to expect. Thank you again 🙏👌I am going to test it on my Basys3.
@drselim
@drselim 2 жыл бұрын
Good luck with that! Just clear the .xdc file (just leave the last four push buttons) and increase the memory from the start, that’s going to save you lots of time 👍
@thanatosor
@thanatosor 3 ай бұрын
Basically, when using FPGA boards, you have to build soft-microprocessor from verilog and another source code for that processor to run. Extra step compare to normal MCU or SBC.
@deathmaster4035
@deathmaster4035 2 жыл бұрын
THIS IS FANTANSTIC !!!! THANK YOU SO MUCH !!!
@drselim
@drselim 2 жыл бұрын
You’re welcome! 👍
@dumpling3309
@dumpling3309 10 ай бұрын
I installed the new Vitis interface and it seems everything is different.
@Bwajster
@Bwajster 2 жыл бұрын
Does Vitis HLS v2022.1 support built-in HLS Functions such as hls::Threshold, hls::Erode, hls::Dilate, hls::Mul, hls::Duplicate, hls::MinMaxLoc, hls::CvtColor etc.? How do I make use of these functions on Vitis HLS ?
@drselim
@drselim 2 жыл бұрын
Hi, I haven’t used the functions you’ve mentioned and unfortunately I don’t have my Basys3 board so I can’t test it. I can try them if i obtain a board in the future and respond to your comment.
VGA Demo in BASYS3
5:14
drselim
Рет қаралды 4,9 М.
Creating your first FPGA design in Vivado
27:23
FPGA Therapy
Рет қаралды 73 М.
Blue Food VS Red Food Emoji Mukbang
00:33
MOOMOO STUDIO [무무 스튜디오]
Рет қаралды 35 МЛН
مسبح السرير #قصير
00:19
سكتشات وحركات
Рет қаралды 11 МЛН
I Took a LUNCHBAR OFF A Poster 🤯 #shorts
00:17
Wian
Рет қаралды 16 МЛН
HOW TRANSISTORS RUN CODE?
14:28
Core Dumped
Рет қаралды 404 М.
Getting Started with PlatformIO
51:54
DroneBot Workshop
Рет қаралды 620 М.
Hello world video using Xilinx Zynq, Vivado 2020, and Vitis
22:34
Architecture All Access: Modern FPGA Architecture | Intel Technology
20:48
Gigabit Ethernet + FPGA/SoC Bring-Up (Zynq Part 4) - Phil's Lab #99
22:34