Modelo de Mealy. Detector de secuencia de 3 bits

  Рет қаралды 128,459

Virgilio Reyes

Virgilio Reyes

Күн бұрын

Hola, mis estimad@s. Pueden descargar del siguiente link, la plantilla que usaremos en el video tutorial:
www.dropbox.co...

Пікірлер: 143
@Daniel-st4qk
@Daniel-st4qk 6 жыл бұрын
CHAVALES ESTO SE APRUEBA CONFIAD EN MI
@zael7848
@zael7848 7 жыл бұрын
Siendo exactamente las 4:30 am debo decir que es el mejor video que he visto sobre el tema, mis respetos! pude resolver los problemas del examen sin problemas, mi profesor hubiera puesto este video en clase y nos ahorrábamos 3 horas! Muchas gracias!
@yahiko47
@yahiko47 10 ай бұрын
2:49
@danielramirez-dn3pv
@danielramirez-dn3pv Жыл бұрын
muy bien! explicado, no dejó ningún detalle por fuera, excelente! trabajo.
@adsbmdf
@adsbmdf 8 жыл бұрын
Não falo espanhol mas compreendi perfeitamente as explicações. Muito obrigado pela aula.
@albannyvillarroel
@albannyvillarroel 9 жыл бұрын
Gracias !!! Prof Virgilio Reyes su explicación me ha sido de gran ayuda , espero que siga haciendo tutoriales así con una explicación pausada como esta y por tomarse unos min en enseñarnos
@hermesfiguerrero
@hermesfiguerrero 6 жыл бұрын
GRACIAS.....ES USTED UN SEÑOR PROFESOR.....DIDACTICA DE CALIDAD........LIKE
@giulianno3000
@giulianno3000 8 жыл бұрын
menos mal que lo encontre.. hacia más de 20 años que lo habia hecho y pensaba que me iba a acordar.. me ha ayudado muchisimo!!
@CristianRodriguez-vl9xh
@CristianRodriguez-vl9xh 5 жыл бұрын
es el mejor video y explicacion que vi en mi vida, el viernes tengo parcial y me salvaste la vida, te doy las gracias por tomarte el tiempo, realmente quisiera tener un profesor como usted¡
@fabreddos
@fabreddos 6 жыл бұрын
excelente video perfecta la explicacion , vi muchos manuales y videos y ninguno me aclaraba el tema, ya con este video entendi bien los circuitos secuenciales , Dios te bendiga hermano , exitos
@juanfelipegallorendon7828
@juanfelipegallorendon7828 5 жыл бұрын
Buenas tardes Virgilio, en 27:57 existe un error al asumir que al entrar un cero en el estado 'I2' se quedará ahí mismo, cuando lo que realmente debe hacer la máquina de estado es regresar al estado 'I0'
@ledlessfather4925
@ledlessfather4925 Жыл бұрын
Estaría todo el ejercicio mal?
@bobvp5921
@bobvp5921 Жыл бұрын
Yo soy novato apenas llevando un curso de circuitos digitales, y podría atreverme a decir que si esta bien. Podrías dar tus fundamentos del porqué sería un error? Si el último valor binario debe ser 1 para cerrar (regresar al estado I0) el ciclo y no 0.
@cnpl7245
@cnpl7245 Жыл бұрын
@@bobvp5921 Cuando llegas al estado I2 ya se han completado los dos primeros dígitos "1 y 0" de la secuencia esperada 1,0,1. SI se ingresa como tercer dígito un 0, la secuencia ingresada sería 1,0, 0, que no tiene nada que ver con la correcta 1,0,1. Ese tercer 0 invalida a los dos dígitos ingresados previamente 1 y 0, con lo que se debe volver al estado inicial I0 para reiniciar el ingreso de los tres dígitos nuevamente.
@bobvp5921
@bobvp5921 Жыл бұрын
@@cnpl7245 entonces mi profesor nos explicó mal. Yo tenía entendido que no reseteaba todo, si no únicamente se quedaba en el estado I2 hasta obtener el 1 y cerrar el ciclo de esta manera. Incluso nuestro profesor nos habló de un 4to estado escondido (dependiendo del número de bits) en donde si se tenia que poner todo a cero. Pero eran casos muy excepcionales. Bueno, supongo que mi profesor no sabía mucho.
4 ай бұрын
@@cnpl7245 está correcto lo que bobvp5921 afirma. Además, no se dijo que el sistema tuviera algún estado para resetear y volver todo de nuevo.
@dragostanase9449
@dragostanase9449 6 жыл бұрын
Ingeniería informática, examen en 6 horas
@sebastianbusch6905
@sebastianbusch6905 5 жыл бұрын
aprobaste?
@cfalfredo730
@cfalfredo730 5 жыл бұрын
@@sebastianbusch6905 Dejo la carrera.
@bykenyimks2656
@bykenyimks2656 3 жыл бұрын
@@cfalfredo730 XD
@Gonzalo-fu2pf
@Gonzalo-fu2pf 3 жыл бұрын
Que buena clase... no lo puedo creer. Esto es increible, mil gracias por tanta claridad a la hora de explicar. Saludos desde Argentina
@niltorrelles
@niltorrelles 3 жыл бұрын
Muy buen vídeo y muy útil. Agradecido de que haya gente que explique como tú.
@marianahenao7831
@marianahenao7831 2 жыл бұрын
El mejor video de mi vida. Gracias por explicar todo tan detalladamente, t amo
@Daniel-st4qk
@Daniel-st4qk 6 жыл бұрын
Virgilio vente a la UA a dar clase, así nos quitamos de encima al Ibarra
@juanmigueltorre1973
@juanmigueltorre1973 4 жыл бұрын
Gracias, sus explicaciones me sirvieron para sacar buena nota en Electrónica Digital.
@eribertmarquez452
@eribertmarquez452 5 жыл бұрын
Muchisimas gracias, de los mejores videos que he encontrado en habla hispana
@urdaneta88
@urdaneta88 8 жыл бұрын
Amigo mil gracias por tus explicaciones, gracias a ti pude comprender bastante bien como funciona el modelo de Mealy y logre desarrollar un detector de secuencia de 5 bits que quedo excelente, nuevamente muchísimas gracias.
@weststarr2046
@weststarr2046 7 жыл бұрын
PODEROSO el video. Muchas gracias!!
@fernando.liozzi.41878
@fernando.liozzi.41878 7 жыл бұрын
¡Cuándo Verga! 1:30:14
@massterviddeo9030
@massterviddeo9030 7 жыл бұрын
Parece que estuviste prestando atención
@taniatarazona5899
@taniatarazona5899 5 жыл бұрын
Jajajaja xD
@juniorjesusaquinovara8068
@juniorjesusaquinovara8068 4 жыл бұрын
También lo escuché xd
@JavierVenturaMatysek
@JavierVenturaMatysek 4 жыл бұрын
jajajaj al youtuber se le paso una...
@arepologalopez4036
@arepologalopez4036 Жыл бұрын
excelente explicación! muchas gracias, me salvó
@715manuel
@715manuel 7 жыл бұрын
Simplemente Excelente gracias por dedicarle tiempo y conocimiento a los amigos del conocimiento
@715manuel
@715manuel 7 жыл бұрын
vale la redundancia
@IngAndresVZ
@IngAndresVZ 2 жыл бұрын
En el primer ejercicio podría detectar no solo la secuencia 101, también detectaría cualquier secuencia 1000000..01
@julianpereira8387
@julianpereira8387 5 жыл бұрын
Muchísimas gracias nos dejaste todo claro como el agua
@sebastiancamiloarismendiar2384
@sebastiancamiloarismendiar2384 8 жыл бұрын
Excelente Explicacion Fui Capas de realizar el de 4 bits con solo verlo Gracias por su aporte
@GordonAllport1
@GordonAllport1 8 жыл бұрын
Excelente video. Un like y me suscribo. Sólo una pregunta. ¿Entonces el autómata lo que hace es que, si el usuario ingresa mal un bit, simplemente lo ignora y espera a que ingrese el bit correcto para pasar al siguiente estado? Saludos y muy buena la explicación
@18SANABRIA
@18SANABRIA 8 жыл бұрын
Pero que buen video, no dejes de hacerlos! muchas gracias!
@AthenolYT
@AthenolYT 2 ай бұрын
Muy buen video profesor😃
@megasirob
@megasirob 5 жыл бұрын
Probe poniendo solo don´t care (X) en los flip flop del "estado 4" osea el que no estaba definido, e igual da y queda un poco mas minima la funcion(quedan 3 and y 1 or). Muchas gracias por el video, creo que es el mas explicativo de este tema que vi.
@alvaroprieto2597
@alvaroprieto2597 7 жыл бұрын
increible video wey me sirbio de mucho, muy chido, le doy pulgar arriba
@imharvol4477
@imharvol4477 4 жыл бұрын
Este video es oro. Exámen en nueve horas y media
@fabiandiaz4354
@fabiandiaz4354 6 жыл бұрын
Un gran video sobre el tema, me sirvió mucho!
@josuemartinez9436
@josuemartinez9436 3 жыл бұрын
Que potente explicación mil gracias
@ArrySnow
@ArrySnow 7 жыл бұрын
Excelente explicación, muy agradecida contigo.
@ericoespinoza2666
@ericoespinoza2666 6 жыл бұрын
Te mereces un like con todo mi corazón.
@castillogonzalezjosueaxel4459
@castillogonzalezjosueaxel4459 3 жыл бұрын
Excelente video, eres el mejor 😎, me ayudo mucho el video 🤩
@Fourier504
@Fourier504 2 жыл бұрын
Muy bueno el vídeo👍👍👍👍
@jeancarloscruzhuanca6518
@jeancarloscruzhuanca6518 4 жыл бұрын
muy bueno
@EdwinxoEscobar
@EdwinxoEscobar 9 жыл бұрын
Muy buen tutorial entendí perfectamente :) :) Gracias por el tiempo que se tomo para hacer el video :) :)
@watnerochoanunez9684
@watnerochoanunez9684 3 жыл бұрын
Buen video, claro y preciso.
@MasterMindmars
@MasterMindmars 3 жыл бұрын
P E R F E C T
@Mauricetz
@Mauricetz 3 жыл бұрын
Hace años que vi este video, de hecho lo tengo en una lista de reproducción viejisima, y recién en 2020 entré a la carrera de informática jajaja como pasa el tiempo
@kebuga
@kebuga 9 жыл бұрын
Muchas Gracias, si puedes realiza mas ejemplos de maquina de estado :D Me sirvió mucho tu video (y)
@panchaleon9679
@panchaleon9679 5 жыл бұрын
pepisima muchisimas gracias
@marcelovargas6591
@marcelovargas6591 4 жыл бұрын
Eres un maldito genio!
@mrclicktoplay
@mrclicktoplay 5 жыл бұрын
Pero lo que tu estas haciendo no le veo sentido es decir imagínate que la secuencia de entrada es 1001 dará como resultado un 1 y eso que no hemos puesto el 101, Entonces en el estado 1 cuando la entrada vale 1 debe volver al estado inicial y en I2 cuando la entrada valga cero también
@JavierVenturaMatysek
@JavierVenturaMatysek 4 жыл бұрын
EXACTO BUEN PUNTO. AHI ACUTA EL RESET PERO NO LO A PUESTO
@cabanasaitor7687
@cabanasaitor7687 3 жыл бұрын
es un ejemplo didáctico y la explicación esta muy buena, al igual que tu punto. Siempre que se corta la secuencia correcta debería ir al estado inicial. Entiendo que la explicación apunta mas a por que utilizó un modelo de Mealy en vez de Moore. Solo mi humilde opinión.
@yeinnysviloria5046
@yeinnysviloria5046 5 жыл бұрын
La mejor explicación 👌👌
@irvinriverabadillo9060
@irvinriverabadillo9060 5 жыл бұрын
que buen material, ojala sigas subiendo videos
@diegocueva7518
@diegocueva7518 5 жыл бұрын
Muy bien tutorial, muchas gracias
@arsrivaszaredan8217
@arsrivaszaredan8217 5 жыл бұрын
que crack eres de los mejores profesores que jamás escuche :D
@patricio7825
@patricio7825 6 жыл бұрын
muchas gracias excelente explicación
@MaruleteVieneelBurroytelaMete
@MaruleteVieneelBurroytelaMete 6 жыл бұрын
Muchas gracias... Me fue de gran utilidad para mi examen
@angelem8374
@angelem8374 2 жыл бұрын
Una pregunta si pusiera un dip switch sería la variable x , solo agarraría un botón del dip o tres para poner la convinacion 101???
@joselinjesseniacuevajaureg6423
@joselinjesseniacuevajaureg6423 7 жыл бұрын
superr please suba mas videos son muy buenos :D
@sebastianzuleta5542
@sebastianzuleta5542 7 жыл бұрын
amigo muy buen vídeo. Quería preguntarte si tenías algún ejemplo que sea Asíncrono. Saludos
@atiqueteimporta5292
@atiqueteimporta5292 4 жыл бұрын
muy bien explicado ,gracias!
@MrBanbata
@MrBanbata 7 жыл бұрын
Muchas gracias muy bien explicado me sirvió muchísimo
@jeffersonperez2685
@jeffersonperez2685 8 жыл бұрын
Muchas gracias por el video me ayudo mucho
@BrianMendoza93
@BrianMendoza93 8 жыл бұрын
Excelente tutorial, pude hacer uno de 5 estados con este (:
@unico589
@unico589 8 жыл бұрын
Excelente video .. muy bien explicado gracias (Y)!!
@eitolo
@eitolo 6 жыл бұрын
demasiado bueno! gracias
@Miguepor
@Miguepor 7 жыл бұрын
Excelente ! muchisimas gracias
@ranelobenable
@ranelobenable 7 жыл бұрын
Buenísima explicación!
@sef3458
@sef3458 5 жыл бұрын
Excelente video y excelente explicacion =)
@jmaese9
@jmaese9 8 жыл бұрын
Buen vídeo, buena explicación del método de diseño, pero si lo que queremos en detectar la secuencia "101", al fallar en cualquiera de las etapas el autómata debería volver al estado inicial, no quedarse en ese mismo estado. Saludos.
@BeDigitalNow
@BeDigitalNow 8 жыл бұрын
+Gasolution Hola, buenos días. Si lo que quieres es detectar integramente la secuencia correcta, puedes enviar en cualquiera de las etapas al estado inicial. Pero sino, puedes dejarlo estable en cualquier etapa y esperar a que el usuario ingrese el bit esperado. El ejemplo que yo pongo en mis clases es como el de un cajero automático. Tú no lo dejaras estable en cualquier número de la secuencia, cuando el usuario se equivoca en el ingreso de su clave, automáticamente el cajero te envia a introducir toda la secuencia de nuevo para no darte una idea del número de la secuencia en dónde te equivocaste. Todo depende del criterio que quieras darle. Saludos
@jmaese9
@jmaese9 8 жыл бұрын
+Virgilio Reyes hola. gracias por contestar. lo que usted dice es cierto, el funcionamiento de su autómata es distinto y correcto si es lo que usted desea, por eso aclaro que la explicación es impecable (de hecho, me ha servido de grandísima ayuda y se lo agradezco) Sin embargo, en el título usted menciona un "detector de secuencia de 3 bits" y lo que describe durante el vídeo no es eso, ya que según su explicación, la salida puede ser 1 mediante la secuencia 101, pero también mediante la secuencia 111111100000001, por ejemplo, ya que cada vez que introduce un dígito incorrecto, no vuelve atrás. Por eso lo que usted explica no es un detector de secuencia. Es importante aclarar eso de cara a la gente que pueda acudir a este vídeo en busca de un detector de secuencia. Gracias por su atención.
@shellyleal8549
@shellyleal8549 8 жыл бұрын
+Gasolution Thank you for the explanation, I haven't realized it was two different problems. I was looking exactly for the sequence detector in a data line and the solution will be slightly different as you described.
@RyUuKbLoOd
@RyUuKbLoOd 7 жыл бұрын
disculpa, tengo que detectar cuando la secuencia complete un 2 o un 3 (010 o 011) usando mealy pero no se como hacer correctamente el diagrama, no sabes de un libro que me pueda servir o me puedes explicar? no se que hacer en los ultimos dosestados, a donde van las flechas? no se, me atoro en la parte final, donde acomodo la fechas finales
@cornelioprimo3741
@cornelioprimo3741 3 жыл бұрын
Hay alguno con tenga varias variables de entrada, los ejemplos siempre tienen una.
@richardschmeid7965
@richardschmeid7965 5 жыл бұрын
2019 , muchas gracias , entendí perfectamente
@lucianaherrero5822
@lucianaherrero5822 4 жыл бұрын
muy bien explicado
@mjserrague100
@mjserrague100 4 жыл бұрын
Qué tipo de aplicación usas para escribir en la pantalla?
@josemunoz3832
@josemunoz3832 8 жыл бұрын
Profe es la bestia
@markjarava
@markjarava 8 жыл бұрын
excelente lo k haces amigo
@jeancarloscruzhuanca6518
@jeancarloscruzhuanca6518 4 жыл бұрын
Una consulta y si al estado I3=11 le asignamos I3=xx ,S=x,Qt+1=x,JK=xx(x significa no importa) afectaria al sistema?.Lo digo porque que pasaria si hubiera 5 estados , tendriamos que validar 3 estados no considerados ? .Gracias
@betrayed4288
@betrayed4288 3 жыл бұрын
dios!
@lachguerabdallah
@lachguerabdallah 9 жыл бұрын
muy útil, gracias
@sarmadnadeem4361
@sarmadnadeem4361 3 жыл бұрын
bien explicado
@lucasjc7567
@lucasjc7567 4 жыл бұрын
Que ocurre si tengo dos salidas? Como simplifico el mapa de karnaugh?
@gabilastra
@gabilastra 5 жыл бұрын
Se puede utilizar el mismo ejemplo pero para otro problema donde tengo la misma cantidad de estados (4) pero tengo 3 salidas en la cual una es 1/0 y las otras es una combinacion de 2 bits? el problema es el de una maquina expendedora de gaseosas la cual una salida es dar o no gaseosa y la otra es el cambio.
@oscarperez6420
@oscarperez6420 4 жыл бұрын
Buenas, profesor. Una cosa, ¿las salidas de cada estado en Mealy son iguales a 0, salvo el bit que hace que complete la secuencia completa? ¿O eso cambia? Es que no me cuadra mucho porque creo que en el problema por las salidas estaríamos leyendo la secuencia 001. Por favor, si pudiera responderme, me urge. Muchas gracias!
@jS-gb6wt
@jS-gb6wt Жыл бұрын
Saludos profe, una pregunta, cómo se hace que el circuito no tenga directamente un clock, sino que lo haga asíncronamente?
@luismo95metalgamer67
@luismo95metalgamer67 7 жыл бұрын
salvador !! gracias
@Leandro-np1uk
@Leandro-np1uk 5 жыл бұрын
Excelente!!
@Olavotemrazaodenovo
@Olavotemrazaodenovo 6 жыл бұрын
Sou brasileiro. Obrigado pelo conhecimento.
@JoxTeTV
@JoxTeTV 6 жыл бұрын
En el ejercicio 1 del estado i2 al ser el input 1 debes volver al estado i1 (con salida 1) si es que quieres que la máquina reconozca la secuencia superpuesta, osea, si es que luego de haber ingresado 101 ingresas 01 y quieres que también lo reconozca. Aparte en estado i2 no puedes quedarte en el si ingresas un cero, ya que la memoria sería 00 y esa secuencia no es válida, debes volver al estado i0.
@JoxTeTV
@JoxTeTV 6 жыл бұрын
Bueno supongo depende de la aplicación del reconocedor y dado el contexto que tú le das está bien.
@oscarperez6420
@oscarperez6420 4 жыл бұрын
Yo también creo que se ha equivocado en las salidas. Con lo que ha puesto está leyendo la secuencia 001
@xXGuitarFrom71Xx
@xXGuitarFrom71Xx 9 жыл бұрын
Buenas, buen tutorial, me ha servido de mucho la verdad, ya que no entendía muy bien los detectores de secuencias. Pero podrías poner un ejemplo de una secuencia mas compleja, como por ejemplo de 4 bits, o incluso un detector de dos secuencias como podrian ser 111 y 000? Te estaria muy agradecido. Saludos.
@carlosfelipeaguirretaborda7708
@carlosfelipeaguirretaborda7708 4 жыл бұрын
Muy facil con una variable externa, pero tengo un problema en el que uso 3 ( sensor de cierre total, sensor de apertura total, sensor de detección de movimiento,) para un problema con una puerta corredisa automatica, se realizar la tabla pero no se llegar a la parte de mapas K, hay convinaciones de variables externas que debo de despreciar y no se como hacerlo
@cesarpomadiego9038
@cesarpomadiego9038 8 жыл бұрын
Disculpe, siempre es necesario agregar los estados que no se encuentran en un diseño. (si o si o va depender del enunciado del problema) :(
@Alvaro_Mont
@Alvaro_Mont 6 жыл бұрын
Qué pasa si en mis mapas me salen mas 1's que X's, las encierro todas? Solo ocupo un número igual de x's y 1's?
@albro2089
@albro2089 4 жыл бұрын
Si esta en el estado 2 y x=0 no se iría al estado 0 hasta que entre un 1?
@sergigonzalez1376
@sergigonzalez1376 6 жыл бұрын
Muchas grasias
@JesusLopez-pc3wn
@JesusLopez-pc3wn 6 жыл бұрын
grandeee
@megasirob
@megasirob 5 жыл бұрын
Muy buen video, probare que sucede si el estado 3 lo dejo como dont care ya que no es posible no?
@Basker-Economics
@Basker-Economics 3 жыл бұрын
Yo voy a tener mi examen de secuenciadores y viene esto en el examen xD
@jaimeperezpalomera727
@jaimeperezpalomera727 6 жыл бұрын
creo que la flecha q pones en el 27 30 esta mal, deveria ir al I0. como lo lo has diseñado la secuencia 1000001 te la detecta como buena
@antisociata
@antisociata 6 жыл бұрын
Correcto, tienes razón, es como dices
@oscarperez6420
@oscarperez6420 4 жыл бұрын
Yo creo que no. Él lo que está haciendo es reiniciar en el estado hasta que se ingrese el bit correcto. O sea, hasta que no metas el número correcto, no vas a pasar al siguiente estado. Dicho lo cual, no se te va a guardar el número en ese estado hasta que no pongas el que es, no sé si me explico.
@angel2o
@angel2o 7 жыл бұрын
1.¿por que en los problemas te ponen bloques con las entradas del sistema y en el mismo bloque te pone el simbolo de flanco de subida? Si las entradas del sistema al ser mealy producen cambios casi instantaneos en la salida. 2.¿Cuando te puedes ahorrar estados? ¿que es lo que puede recordar un estado? 3.¿Cuando se aplican las entradas y durante cuanto tiempo? Son muchas preguntas, si me las respondes estaré eternamente agradecido xDDD
@gustavo15438
@gustavo15438 8 жыл бұрын
te ganaste un like
@exequielperez3275
@exequielperez3275 5 жыл бұрын
algún libro para estudiarlo?
@carlosvidal8244
@carlosvidal8244 6 жыл бұрын
bro hay un error el diagrama de estado esta mal hecho en el segundo Bit si marca error debe iniciar en el estado 1 bro, recomiendo que te fijes de nuevo, gracias por el video!
@jorgecuatin1891
@jorgecuatin1891 6 жыл бұрын
Yo diría que estoy de acuerdo contigo...me queda la duda
@sef3458
@sef3458 5 жыл бұрын
Si el enunciado no te indica nada,puedes volver al estado inicial si lo deseas.
@sinnombrec3723
@sinnombrec3723 4 жыл бұрын
es porque lo quizo asi, no significa necesariamente que debe iniciar de nuevo, ni es un contador donde se dice que es ascendente o descendente
@cristhianmarcelodelacruses7230
@cristhianmarcelodelacruses7230 5 жыл бұрын
ja ja ja ja cuando verga ? ja ja ja ja buen video gracias ya me suscribi :v
@robertodzulduran7665
@robertodzulduran7665 8 жыл бұрын
Buena tarde, muy buenos vídeos!, que programa usa para explicar??
@BeDigitalNow
@BeDigitalNow 8 жыл бұрын
+Roberto Dzul Hola, buenas noches. Pues el programa que utilizo para escribir se llama: Presentation Maker Pro. Necesitas tener una Tablet de Diseño Gráfico como por ejemplo, la Tablet Wacom Intous para poder usar ese software. Y para Capturar el video del monitor, utilizo Camtasia Studio 8.
Modelo de Moore
57:18
Virgilio Reyes
Рет қаралды 87 М.
Contadores de rizo
1:06:51
Virgilio Reyes
Рет қаралды 7 М.
Brawl Stars Edit😈📕
00:15
Kan Andrey
Рет қаралды 50 МЛН
Clase de memorias RAM y ROM Parte 1
30:53
Virgilio Reyes
Рет қаралды 103 М.
Ruta Hacia la Alfabetización Digital
3:37:56
Ministerio de Educación, Ciencia y Tec Misiones
Рет қаралды 2,9 М.
IC T6 Detector de secuencias sin/con solapamiento
18:58
Tomi T
Рет қаралды 2,2 М.
La partícula más energética jamás observada
14:15
CienciaDeSofa
Рет қаралды 21 М.
Desafíos de la Transformación Digital e Industria 4.0
23:50
Virgilio Reyes
Рет қаралды 5 М.
pfSense Firewall - pfSense Administration Full Course
3:35:47
Knowledge Power
Рет қаралды 520 М.
Diseño de sistemas secuenciales. Sistemas digitales. Electrónica y Automática.
36:35
Registros.
14:02
Electrónica FP
Рет қаралды 184 М.
Brawl Stars Edit😈📕
00:15
Kan Andrey
Рет қаралды 50 МЛН