VHDL Lecture 23 Lab 8 - Clock Dividers and Counters

  Рет қаралды 57,388

Eduvance

Eduvance

Күн бұрын

Пікірлер: 12
@sagarwaghmare9416
@sagarwaghmare9416 7 жыл бұрын
sir ur videos r understanding vry clearly amazing
@mben708
@mben708 6 жыл бұрын
Thank you. That was really helpful
@akshayudupa6105
@akshayudupa6105 7 жыл бұрын
19:48 - 1/50M is not 50micro sec
@EDUVANCE
@EDUVANCE 7 жыл бұрын
It should be 0.02 micro seconds. Apologies for the inconvenience.
@akshayudupa6105
@akshayudupa6105 7 жыл бұрын
Your videos are very understandable. Thank you!
@pritam4622
@pritam4622 7 жыл бұрын
hi Dr. Jonathan Joshi, can we use any flipflop direct from library in vhdl while we designing mod-3 counters... like we pic up filpflops as component in schematic design...
@EDUVANCE
@EDUVANCE 7 жыл бұрын
Yes you can do that. Following is the link to the guide for Flip - Flop component selection : www.xilinx.com/support/documentation/sw_manuals/help/iseguide/mergedProjects/destech/html/cs_flip_flops_component_selection_guide.htm Taking example of Asynchronous D- Flip flop. To directly use D - flip flop you can refer the following link which shows the input and output port names to be used. www1.pldworld.com/@xilinx/html/technote/tool/manual/15i_doc/alliance/lib/lib5_9.htm So now while you are creating the instances you will declare them with same names. Example: XLXI_20 : FDC port map (C=>CLK, CLR=>CLR, D=>XLXN_44, Q=>A_DUMMY);
@pritam4622
@pritam4622 7 жыл бұрын
Thanks alot Dr. Joshi
@shreyasbelose1230
@shreyasbelose1230 7 жыл бұрын
Sir,how to use for loop in vhdl ?? Will you please explain with an example
@EDUVANCE
@EDUVANCE 7 жыл бұрын
The code below is an example of how to use for loop in VHDL. In this code, If the input is 1, then it is inverted or else the output is same as input library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity MainModule is Port ( invert : in std_logic; input : in STD_LOGIC_VECTOR (3 downto 0); output : out STD_LOGIC_VECTOR (3 downto 0)); end MainModule; architecture Behavioral of MainModule is begin process(invert,input) begin if (invert='1') then for I in 0 to 3 loop output(I)
@bryanchambers1964
@bryanchambers1964 6 жыл бұрын
I am taking this class at TUM Munich and 3 weeks in what we are doing is more advanced than this vid, not bragging, but I am really struggling in my class.
@sagarwaghmare9416
@sagarwaghmare9416 7 жыл бұрын
sir plz explain16×2 lcd dispaly vhdl coding
VHDL Lecture 22 Lab 7 - Voting Machine Simulation
9:11
Eduvance
Рет қаралды 18 М.
Ep 060: D Flip-Flop Divide-by-Two Circuit
7:08
Intermation
Рет қаралды 26 М.
Мен атып көрмегенмін ! | Qalam | 5 серия
25:41
Chain Game Strong ⛓️
00:21
Anwar Jibawi
Рет қаралды 39 МЛН
Google´s NEW Quantum Chip Could Change the World!
11:44
AI Uncovered
Рет қаралды 78 М.
VHDL Lecture 6 Understanding Signals With Select Statements
26:29
Step by Step Method to design any Clock Frequency Divider
18:16
Technical Bytes
Рет қаралды 151 М.
VHDL Lecture 16 Making Sequential Circuits
28:24
Eduvance
Рет қаралды 41 М.
9.12. Multiplexing in VHDL
12:02
Electron Tube
Рет қаралды 2,3 М.
VHDL Lecture 24 Lab 8- Clock Divider and Counters Explanation
12:06
Shift Registers in VHDL
13:18
Columbia Gorge Community College
Рет қаралды 30 М.
[Frequency divide by 2 ]  clock divider explained!!
5:16
Karthik Vippala
Рет қаралды 27 М.