Пікірлер
@vishal_vishuzzz
@vishal_vishuzzz Ай бұрын
Reshare the ppt link which has ppt
@ThanhMuchler
@ThanhMuchler 3 ай бұрын
9425 Miller Tunnel
@bharathisesham
@bharathisesham 4 ай бұрын
Doo more videos on gvim loop sir
@bharathisesham
@bharathisesham 4 ай бұрын
Thank you sir
@MachikalapudiRaniSamyuktha
@MachikalapudiRaniSamyuktha 9 ай бұрын
why do we get 0100 printed when we give input 32{4'b01xz} ?
@PrathiRojuCoder4444
@PrathiRojuCoder4444 9 ай бұрын
What is the ide that you are using ?? how to install it??
@USMan21
@USMan21 11 ай бұрын
Clean content. Thank you!
@binupkumar5360
@binupkumar5360 11 ай бұрын
Great Explanation..!!
@rishabhkumarsoni1240
@rishabhkumarsoni1240 Жыл бұрын
Wow man, beautiful explanation. I was looking for good content for SV finally found your channel.
@Shahidsoc
@Shahidsoc Жыл бұрын
why he write 4 addresses in case for write operation but for read only one address is enough ?
@NKARRIVASU
@NKARRIVASU Жыл бұрын
Sir, can u provide the Sv code for given sequence detector
@shaswatadutta4451
@shaswatadutta4451 Жыл бұрын
Please upload this entire ppt and the tutorial in a new drive link. The old link is not working.
@gunasekaran8196
@gunasekaran8196 Жыл бұрын
Bro continue with sv I will refer your channel
@saketswami882
@saketswami882 Жыл бұрын
Hi, I'm trying to run this on EDA Playground with VCS, result is different for the same code (typedef example). What might be the case ? Also, the result for mentor questa seems different when dealing with certain code, for example one_bit and four_bit code in earlier video
@aasthashah2261
@aasthashah2261 Жыл бұрын
Thank you very much sir
@AkbarRajaei
@AkbarRajaei Жыл бұрын
nice explanation for keyword this and super 👍
@vamshidharreddy33
@vamshidharreddy33 Жыл бұрын
can you please give the access to the files
@Kenneth-ml9fx
@Kenneth-ml9fx Жыл бұрын
I don't understand
@surbhigupta8310
@surbhigupta8310 Жыл бұрын
I just loved the way you explain, very simple ans easy way. Requesting you to please make videos on UVM and advanced SV.
@soumitrapal2091
@soumitrapal2091 2 жыл бұрын
the ppt download link is not working. can you please upload the ppt?
@pallaviraju7542
@pallaviraju7542 2 жыл бұрын
very useful .but the drive link asking for permission
@iSeanx3
@iSeanx3 2 жыл бұрын
Can you reupload the ppt?
@ramyamarrapu4823
@ramyamarrapu4823 2 жыл бұрын
Sir Please keep upload more videos
@001122334453
@001122334453 2 жыл бұрын
hey, can you please upload the attached PPT? the current link doesn't work. thank you
@gunjanpandey2585
@gunjanpandey2585 2 жыл бұрын
Sir...how can I contact you... please reply
@uzmaaliya2036
@uzmaaliya2036 2 жыл бұрын
Hi sir why we have used $ symbol in monitor command ?? ($realtime,$time) but we haven’t used ($value ) why ???
@ChiragHadiya
@ChiragHadiya Жыл бұрын
yeah i am agree with you why we only use $ ?
@swapnilbande2067
@swapnilbande2067 2 жыл бұрын
sir in this video there is a register cntrl which is write only and there is instat register which is read only then while writing operation why cntrl register is assigned to value 0 instead of instat in the code?
@amruthn4828
@amruthn4828 2 жыл бұрын
please give download option for the ppt
@nehakumari-xh3ep
@nehakumari-xh3ep 2 жыл бұрын
Thanks a lot ft this help.
@pavankumar-tt1wx
@pavankumar-tt1wx 2 жыл бұрын
Hi kavish, thanks a lot. please share all SV topics
@rameshpatgar9473
@rameshpatgar9473 2 жыл бұрын
Can we create Excel sheet in gvim
@pulkitmittal2065
@pulkitmittal2065 2 жыл бұрын
ppt absent..
@urvashimota07
@urvashimota07 3 жыл бұрын
Best SV learning session I have come across till now
@shreenivasshavi135
@shreenivasshavi135 3 жыл бұрын
Waiting for second part
@lokapallyshivaprasadreddy2018
@lokapallyshivaprasadreddy2018 3 жыл бұрын
Kindly make some videos on UVM also brother
@rajkapadia7324
@rajkapadia7324 3 жыл бұрын
can you give me access to the solutions?
@amishaangel3
@amishaangel3 3 жыл бұрын
good ..kindly make more videos on system verilog and UVM too.
@jagadeeswarreddyneelapured5215
@jagadeeswarreddyneelapured5215 3 жыл бұрын
Thanks for giving brief presentation.. I learnt a lot
@SachidanandBNaragundakar
@SachidanandBNaragundakar 3 жыл бұрын
module datatype_example2; logic a={32{4'b1111} };//{4'b1000}}; bit b={32{4'b1111} }; byte c={32{4'b1111} }; int d={32{4'b1111} }; longint e={32{4'b1111} }; logic f={32{4'b10xz} };//{4'b1000}}; bit g={32{4'b10xz} }; byte h={32{4'b10xz} }; int i={32{4'b10xz} }; longint j={32{4'b10xz} }; initial begin $display("the a value=%0b\t%0b\t%0d\t%0d\t%0d",a,b,c,d,e); $display("the a value=%0b\t%0b\t%0d\t%0d\t%0d",f,g,h,i,j);//b,c,d,e); end endmodule THIS IS WHAT i HAVE WRITTEN FOR EXERICISE 2 AND OUTPUT I AM GETTING AS the a value=1 1 -1 -1 -1 the a value=z 0 -120 -2004318072 -8608480567731124088 V C S S i m u l a t i o n R e p o r t PLEASE HELP ME TO UNDERSTAND THIS
@SachidanandBNaragundakar
@SachidanandBNaragundakar 3 жыл бұрын
HELLO KAVISH PLEASE LET ME KNOW AT 16:49 OUTPUT FOR EXAMPLE OF WIRE/TRI I AM GETTING DATA=Z INSTEAD OF X AS PER UR VIDEO ????
@54321madhu
@54321madhu 3 жыл бұрын
Brilliant Tutorial Kavish. Thank you so much
@ayeshasaberi8972
@ayeshasaberi8972 3 жыл бұрын
HI sir, Your classes are so amazing. it has helped me a lot lot.. Thanks a lot sir
@venugopalreddygogireddy1918
@venugopalreddygogireddy1918 3 жыл бұрын
in 5:02 when Iam trying to highlight word it is highlighted but it is not indicating different color it is same color as before what should I do to get my highlighted word different color?
@kamalvaishnav6763
@kamalvaishnav6763 3 жыл бұрын
Ppt not available on drive
@HowsThatApp
@HowsThatApp 3 жыл бұрын
Thank you so much for these 2 videos!
@shubhigupta5785
@shubhigupta5785 3 жыл бұрын
Sir why we use %b in monitor or display statement?
@debabratobanik2103
@debabratobanik2103 3 жыл бұрын
b for binary data type
@abhisheksingh-db4kk
@abhisheksingh-db4kk 3 жыл бұрын
hey nice lecture can update more lecture on system verilog
@ibrahimayaz
@ibrahimayaz 3 жыл бұрын
Dear Sir. I find your content really helpful for learning SystemVerilog and its features. I am very sad that you didn't continue to teach on Constrained Random Verification and UVM topics. I hope you have a very good and healthy life and really thank you from my heart for these valuable content.
@amalenduaman7239
@amalenduaman7239 2 жыл бұрын
Here system verilog is complete fully or some topics are remaining?
@VenkataRamana-oc9hu
@VenkataRamana-oc9hu 3 жыл бұрын
a lot of stuff in a single video, hats of to you sir.........
@amalenduaman7239
@amalenduaman7239 2 жыл бұрын
Here system verilog is complete fully or some topics are remaining?
@Bilech34
@Bilech34 3 жыл бұрын
Great video, thank you very much! Unfortunately, the PPT file isn't available anymore. Is there any chance it can be reuploaded?