Juste pour vous dire Monsieur que grâce à vos vidéos et à votre pédagogie j'ai assimilé très rapidement les notions de VHDL . Résultat : j'ai très bien réussi mon partiel .
@EricPeronnin2 жыл бұрын
Félicitations et merci pour votre message
@fit_davidson78854 жыл бұрын
merci beaucoup pour toute ces Videos. j'ai en fin compris VHDL grace a vous .
@mael_hnr Жыл бұрын
ensemble de vidéos très instructives, claires et concises. Encore merci !
@jfmahe14074 жыл бұрын
Très bonne vidéo.Merci.
@EricPeronnin4 жыл бұрын
Toujours fidèle au rendez-vous ! Merci.
@jfmahe14074 жыл бұрын
@@EricPeronnin Que oui ! J'en apprends encore plein.
@hamzatoufaridamilougou45506 ай бұрын
Un grand merci
@soumiasamane81113 жыл бұрын
merci !!!
@ahmedb25593 жыл бұрын
Merci !
@embeddedsemrade Жыл бұрын
merci
@zohramenou44724 жыл бұрын
Monsieur vous pouvez me donner le programme
@EricPeronnin4 жыл бұрын
Le compteur : library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity compteurBCD is Port ( clk : in STD_LOGIC; en : in STD_LOGIC; bcd : out STD_LOGIC_VECTOR (3 downto 0)); end compteurBCD; architecture Behavioral of compteurBCD is signal cmpt : integer range 0 to 9 := 0; begin -- Copie en sortie du signal de comptage interne bcd bcd ); -- Clock process definitions clk_process :process begin clk