No video

How We Get Down to 0.2nm CPUs and GPUs

  Рет қаралды 58,370

TechTechPotato

TechTechPotato

Күн бұрын

Пікірлер: 291
@thesmallestatom
@thesmallestatom 2 жыл бұрын
I think the “what’s next beyond EUV” question deserves a video. The generation and manipulation of photons past EUV becomes a big issue.
@Jaker788
@Jaker788 2 жыл бұрын
After EUV (soft x-rays) we move to hard x-rays right? That's probably going to be hard to control.
@mduckernz
@mduckernz 2 жыл бұрын
@@Jaker788 Do we need to stick with photons? I realise they have a lot of nice properties but they aren’t the only particles one can use
@Jaker788
@Jaker788 2 жыл бұрын
@@mduckernz I'd think hard x-rays are hard enough to focus and control exposure. Maybe taking a page out of cancer radiotherapy, a linear accelerator that can accelerate x-rays, electrons, or protons in a focused manner is a possibility. I'm not sure how those particles work for photolithography, maybe new resists needs to be invented and modification of the LINAC machine. I know there are some alternative machines not used that instead of using a large mask and exposing the whole wafer at once, work more like a CNC. Very slow but I think it was more accurate and precise? Maybe a LINAC could be focused to directly draw the design without a mask, probably extremely difficult, I don't know what's required to do that kind of precision and how the CNC type lithography machines work to begin with.
@PremierSullivan
@PremierSullivan 2 жыл бұрын
@@mduckernz Electron Beam Lithography is very interesting and can take us down to the atomic level. Look it up on Wikipedia. The only problem with EBL is that it's terribly slow, so slow that it is completely uneconomical unless someone can speed it up dramatically somehow. (Multiple beams is one idea that they are exploring.)
@mduckernz
@mduckernz 2 жыл бұрын
@@PremierSullivan Indeed, electrolithography (? Not sure if that’s a valid name for it, but you get the idea…) was the main approach that seemed viable to me. Multiple beams sounds very doable, you just need to make sure they don’t pass too close to each other so they won’t repel each other and deflect their beams. I also wonder whether you can do away with the beams, and instead use a modified resist and mask, and then bathe the silicon in electrons, treating them as if they were light. The beams approach seems likely to work, and be effective, but yeah as with you I worry about the speed of it.
@novantha1
@novantha1 2 жыл бұрын
I'm hearing a lot about increases in transistor density, but I have a sneaking suspicion that raw improvements in power efficiency will become more elusive over time, leading to greater considerations of things like the Landauer Limit, for instance, or in other words, leading to advanced nodes that pack tons of transistors clocked lower than current nodes, in order to avoid excessive thermal stress...Possibly leading to a revolution in software as we adjust our workloads for wider compute chips with massive parallelization capabilities, a good example of which is the rapid increase in AI based accelerators.
@BattousaiHBr
@BattousaiHBr 2 жыл бұрын
i'm actually not sure if that's really going to happen. power generation is ramping up exponentially with compute demand. datacenters don't seem to be more concern about power bills as much as they are concerned about squeezing the most compute possible. which means more power, as expensive as it is, is still cheaper than more compute. GPUs are getting more power hungry primarily due to exploding compute demands, and the benefits are justifying the higher power bill.
@kicapanmanis1060
@kicapanmanis1060 2 жыл бұрын
My understanding is transistor density increase usually leads to power efficiencies improvement's however they can choose to focus on more performance or more energy savings
@BattousaiHBr
@BattousaiHBr 2 жыл бұрын
@@kicapanmanis1060 maybe, but from what i can see this is really been driven over the last 5 or so years by an explosive demand of AI compute that just keeps skyrocketing, which is mainly done on GPUs. this would explain why GPU power consumption has taken over CPU by such a large margin.
@FreeOfFantasy
@FreeOfFantasy 2 жыл бұрын
I think at some point near threshold computing may be necessary
@JackMott
@JackMott 2 жыл бұрын
bro the wide revolution has already been underway for a decade
@CalgarGTX
@CalgarGTX Жыл бұрын
I think the real technology breakthrough would be teaching devs to stop reinventing wheels that need 10x the compute to do the same things we already could do.
@kamkittrell7908
@kamkittrell7908 Жыл бұрын
Ian, fantastic tutorial on the direction of advanced node development. I’m sharing this with my team.
@TechTechPotato
@TechTechPotato Жыл бұрын
Thanks Kim! Feel free to reach out if ever you're looking for sponsored content. A deeper look into the future of EDA in a chiplet and stacked world would likely go over very well
@UFCPR
@UFCPR 2 жыл бұрын
That Commodore shirt is killing it! 😎
@JohnDuthie
@JohnDuthie 2 жыл бұрын
Exciting! The amount of manpower to make chip fabrication possible is baffling. The type of structures for different nodes is also super exciting and I want to watch more about it.
@kundeleczek1
@kundeleczek1 2 жыл бұрын
Will it make very advanced IC manufacturers alike Pharaohs? So far away from common people, they will appear like gods. So much power over everyone.
@yuio823
@yuio823 2 жыл бұрын
The Taiwan government representative is a member of the board of directors of TSMC, and the Taiwan government will never let TSMC be completely out of government control.
@kundeleczek1
@kundeleczek1 2 жыл бұрын
@@TriNguyen-he7xk I don't understand.
@TAP7a
@TAP7a 2 жыл бұрын
It's already very much like that in every field. Billionaires are so far removed from you and I, yet wield enormous influence over both their own industries as well as govt policy. These aliens are dictating huge swathes of our lives, not least limited to the majority of our time that we spend working to make them more money. Worry not about the semiconductor foundries, they are staffed by ordinary people trying to work for a living like you and I. Worry more about the billionaires exploiting their labour and destroying the planet with overproduction, and the legions of other owners that amplify and venerate the billionaires.
@bloodybucket213
@bloodybucket213 2 жыл бұрын
@@TriNguyen-he7xk Pharaoh lives matter
@RobBCactive
@RobBCactive 2 жыл бұрын
@@TriNguyen-he7xk 😆😆🙉🙉
@nekomakhea9440
@nekomakhea9440 2 жыл бұрын
"Forksheet GAAFET" is a terrible name, they should have called them "ForkFETs"
@NarekAvetisyan
@NarekAvetisyan 2 жыл бұрын
What's next, SporkFET?
@socketuspuppetus1216
@socketuspuppetus1216 2 жыл бұрын
@@NarekAvetisyan Boba Fett!!!!
@JorgetePanete
@JorgetePanete Жыл бұрын
Forklift CertiFET
@XCS8000
@XCS8000 Жыл бұрын
​@@NarekAvetisyan Before I Forget 🤟
@M3D1AC
@M3D1AC 2 жыл бұрын
If EUV was being discussed about in the 80's, and its mass usage is in 2022, what technologies and scientifical concepts are being discussed today, apart from the one layer of atoms? If you know, that is ofcourse. I would like to imagine what they will do 50+ years from now
@gravitas2974
@gravitas2974 2 жыл бұрын
Artificial general intelligence. When computers can think better than humans and much faster, all bets are off. We could get a century of progress in a few years.
@kazedcat
@kazedcat Жыл бұрын
There is nothing beyond EUV. There is an upgrade the High NA EUV. Prototype machine are already being built but it would take a couple of years before it enters mass production. After that the industry would have to rely on multi patterning to keep scaling. This is of course the lithography side. The transistor side have a lot more avenue for squeezing density. And there is also the packaging side where a lot of research is going into increasing chip density.
@Nobe_Oddy
@Nobe_Oddy Жыл бұрын
Thanks Doc!!! But don't forget: PHOTONICS ARE THE FUTURE!!!!! :D
@davidgunther8428
@davidgunther8428 Жыл бұрын
Getting current into and out of dissimilar materials can be a real issue (without large voltage drops). Glad they're getting a start on it now! 😃
@steveseeger
@steveseeger 2 жыл бұрын
Don't let Jim Keller watch the last segment! 😁
@neutechevo
@neutechevo 2 жыл бұрын
Thank you Dr. Ian Cutress for bringing to Technologists minded people the latest and future updates, with such an informative but at the same time focused on essentials, manner. For reminding me , your outro track and cat's playfulness, a little something from a fellow countryman of yours .: Squarepusher (Tom Jenkinson) - Angel Integer
@mlytle0
@mlytle0 Жыл бұрын
I know silicon carbide is only used for power Mosfets, but you can do crazy high clock speeds with this stuff. Maybe in 50 years we might see some VLSI SiC chips, then with fabrics, assemble liitle SiC chiplets into fast little CPU's? Or not.
@thejohnnerparty
@thejohnnerparty Жыл бұрын
This is one of the best tech presentations I have ever seen on KZbin. Tech TechPotato a huge thumbs up.
@nepas3628
@nepas3628 2 жыл бұрын
this review was awesome, it's like a breeze of fresh air compared to other over-simplistic channels. congrats
@mahdimahdavi
@mahdimahdavi 2 жыл бұрын
The ending was absolutely brutal :)
@MarkBarrett
@MarkBarrett Жыл бұрын
The A10 process node in 2028 will be a good time to buy/build a new computer.
@ProjectPhysX
@ProjectPhysX 2 жыл бұрын
0.2nm is less than the diameter of a silicon atom. Sure you have to hit the quantum tunnel limit at some point before that :D
@TechTechPotato
@TechTechPotato 2 жыл бұрын
Good thing it's only a NAME, and not an actual physical dimension. It's meant to represent a theoretical planar transistor.
@ProjectPhysX
@ProjectPhysX 2 жыл бұрын
@@TechTechPotato I know, and that's like selling a 60kWh capacity car battery under the name "600kWh". And then imagine different manufacturers slapping random kWh numbers on their batteries to try to outcompete each other. Misusing physical quantities with a well defined meaning as marketing names is beyond misleading. Another such example that comes to mind is "resolution" of video projectors. Manufacturers market them as "4K", but it's actually just maximum supported input signal resolution and the projector then can only do a 720p image on the wall. The "native resolution" is hidden in small print.
@Jaker788
@Jaker788 2 жыл бұрын
@@ProjectPhysX Meh, it's representing the increase in density over a number of factors. Actual transistors size is just one of a handful such as, total gate area from design changes to GAA and such, space between gates, etc. You really can't just slap an accurate number anymore to actually represent the size so I'm not sure what you'd want.
@ProjectPhysX
@ProjectPhysX 2 жыл бұрын
@@Jaker788 of course you can put a precise number on it, and there is proposed standards to do so via transistor density. For example, count the number of transistors per mm², then calculate the average square transistor side length.
@Jaker788
@Jaker788 2 жыл бұрын
@@ProjectPhysX So you've got density down, but not quite. You have High performance cell libraries that are going to be less dense but handle more power, and there are at least a few cell libraries to choose from, all with different density and other specs. You've got ultra dense cell libraries for SRAM, stuff for logic, efficiency, custom ones for specific large customers. So even within a node there is no set density per area. There's leakage, power capability/density, efficiency, switching speed, doping materials, etc. There's a lot to a node that you just can't represent accurately. There's so much to a process node. The current naming shows up a relative improvement over the last though, and that's pretty good without making a specific claim to density. For more specific specs you can look into their datasheet and get as much info as they're willing to show the public, which isn't everything and every cell library.
@LordDragon1965
@LordDragon1965 2 жыл бұрын
EUV should get us to the Singularity then the AIs will get us beyond it.
@davidgunther8428
@davidgunther8428 Жыл бұрын
On a side note about EUV, I'm surprised they are using CO2 lasers, instead of diode or fiber ones. I guess it keeps the large capacitor manufacturers in business!
@BroodPitt
@BroodPitt 2 жыл бұрын
Great video!
@wiktoramikos
@wiktoramikos 2 жыл бұрын
Super good analysis and inshowcasing what the major players are doing in this space. What would be interesting is if a review / analysis can be done of what these major fab players are doing in terms of building facilities, identifying the products they will be making, when and where (globally speaking) -- in light of the recent geopolitical turmoil, the US CHIPS act, etc.
@catalinedward
@catalinedward 2 жыл бұрын
when you look at these road maps, and think what is in research vs what we get from year to year, it becomes mind boggling... that last statement was really depressing, but who knows maybe new euv technology is not the future, they might ditch it in 10 - 20 y
@TechTechPotato
@TechTechPotato 2 жыл бұрын
EUV has been a 35 year journey. Going beyond EUV has to have started today, and will take 35yr+. As far as I can tell, very little work is being done beyond EUV. High-NA sure, but that's mirrors, not sources.
@catalinedward
@catalinedward 2 жыл бұрын
@@TechTechPotato crazy ideas come every year, we can never know what the future holds. How Is the quantum computer working?
@TheStuartstardust
@TheStuartstardust 2 жыл бұрын
@@TechTechPotato but are there none competing processes in the works, that just have not matured yet? 🤔😲
@kazedcat
@kazedcat Жыл бұрын
There are small time research with alternatives. But there is no industry wide push to develop a technology beyond EUV. Without Industry wide coordinated effort to make something happen nothing will happen. The cost to develop something better than EUV is just to high that no single company can afford it. It has to be a team effort by everyone and even with everyone involve it will take several decades.
@FRSS27
@FRSS27 2 жыл бұрын
Extremely interesting video. I wonder if there isn’t there more literature on the subject of scaling, metal-tracks and metal-pitch (that isn’t impossible to understand for average readers).
@matthewreavley
@matthewreavley 2 жыл бұрын
As long as you can get access to the papers, I’d recommend just try to read a few, you pick things up through context clues pretty quickly (keeping notes of the acronyms on the side can also help). Also, review papers and the literature review sections of thesis papers tend to be quite comprehensive and can be a good place to start when looking at a new topic
@unvergebeneid
@unvergebeneid 5 күн бұрын
It's 2024 and I'm actually wearing a device with a 3nm GAA transistor chip rn.
@FrankHarwald
@FrankHarwald Жыл бұрын
I'm predicting that fabs will have to switch to some other semi materials as Si for the channel long before the A2, somewhere between A5 - A10.
@thatjokerperson7062
@thatjokerperson7062 Жыл бұрын
They just need to work backwards, plan out how to make them stupidly small and then upscale until reasonable yeild
@FrankHarwald
@FrankHarwald Жыл бұрын
If you wonder: there's an alternative to EUV lithography: EBL lithography (electron beam lithography). It already exists today & actually allows even smaller features than EUV, for example it already managed to produce single-atom wide features about a decade, but it does also have drawbacks: all research work I've come across indicates that it's difficult to use for mass production because results are difficult to reproduce & also costs, which is why commercial semi fabs don't use them (yet?) but some research labs do. I know some companies are trying to commercialize that but with an unknown amount of success.
@miles2378
@miles2378 Жыл бұрын
Dosent the Eldctron beam expose each layer like a televison tube writing each frame onto the screen instead of in one single burst with EUV-litho?
@aeropb
@aeropb 2 жыл бұрын
this is some great content. learned a lot. ty for the video
@annakissed3226
@annakissed3226 2 жыл бұрын
Thanks Ian for this. I know it might appear that EUV maybe seem like the end of the road, we may yet find a way to move the path of X-rays. It also been wonderful seeing you hanging out with Wendell, Steve, Gordon etc. I know I'm not the only person who likes that tech youtubers are a community not a competition. I see the same thing happening in the Guitar/amps/pedal/mic/music/production space with a lot of them meeting up at #42gsthree & #42gsfour
@alpha007org
@alpha007org Жыл бұрын
And all having that awful "carpet" in the background... :)
@aldozampatti
@aldozampatti 2 жыл бұрын
Everyone in KZbin : "let's get a good catchphrase for the end of our videos" Dr. Ian: "hold my beer"
@Alex.The.Lionnnnn
@Alex.The.Lionnnnn Жыл бұрын
It seems the thermal regulation of CPUs and GPUs is becoming more and more restrictive and it was suggested somewhere that it was a hinderance to AMD's 3D vcache chips. Is there any kind of microtubule type technology on the horizon that would allow water or equivalent coolant to actually flow through the meat of the die, potentially allowing them to stack more and more layers without the problem of overheating?
@ThermalWorld_
@ThermalWorld_ Жыл бұрын
In microtubes there would be the limit of the possible pumping volume of the coolant and the high purity needed. Another problem would be the expansion of the coolant in high temperatures by making the chip silicone go skadoosh 💥 😂
@petehall1900
@petehall1900 2 жыл бұрын
Hope we all start using transistor density metrics soon
@TheStuartstardust
@TheStuartstardust 2 жыл бұрын
Perhaps divided by power consumption or something. Too many unknowns still 🤓🙃
@4G12
@4G12 2 жыл бұрын
Let's be honest, post silicon tech is absolute necessity to actually maintain Moore's Law to the end of this century. Packing silicon based transistors tighter is guaranteed to become exponentially more problematic and cost prohibitive over time, the laws of physics will make this an absolute certainty.
@TechTechPotato
@TechTechPotato 2 жыл бұрын
Anything that comes along will have to compete against 50+ years and trillions of dollars of innovation on day one.
@KaiserTom
@KaiserTom Жыл бұрын
@@TechTechPotato It just needs to be good enough in a specialized application to prompt accelerator use and grow the industry from there. If something like graphene can be used to achieve Terahertz speed processors, even very basic or large feature size ones, there are a lot of high value applications that are heavily limited by purely sequential calculation. Even if it's only a couple million transistors, some of those computations don't take much, they just absolutely need to be done one right after another and can take a very long time in a typical CPU.
@JonMasters
@JonMasters Жыл бұрын
Nice metal mask behind you
@SianaGearz
@SianaGearz Жыл бұрын
I understood... pretty much nothing. I don't understand how different MOSFET configurations actually are built and work.
@olimpather
@olimpather Жыл бұрын
Yes. We fired electron bolts at a sand and taught it how to think. Humans is too advanced.
@predaalex3210
@predaalex3210 2 жыл бұрын
Will EUV suffice for the next 40-50 years at the pace we're going though ? And what tech could replace it (at least in lab conditions) ?
@TechTechPotato
@TechTechPotato 2 жыл бұрын
All the tricks we've used pre-EUV we can apply to EUV, but they'll run out by the end of the decade probably.
@Dennzer1
@Dennzer1 Жыл бұрын
@@TechTechPotato You say that EUV wont get replaced in your lifetime, in all probability, but I posit to you that with advancements in quantum computing and AI, there will be problem solving of thousands of years of all the worlds super computers of today, done in a few hours. Quantum Computers are not really useful right now, for the most part, as I understand it, but that is set to likely change starting in a couple of years. A.I. achieved, with the AI that won at GO, what was thought to be 10 years ahead of schedule at that time. And AI researchers don't even fully understand what it going on, that's how crazy its already getting. Hopefully it doesn't end in disaster, but the advancements in intelligence itself would seem to be the thing that will allow us to go past EUV lithography, and doing so by the 2040s I'd guess.
@benyomovod6904
@benyomovod6904 13 күн бұрын
I wait for the GAGA transistor. No matter what hardware improves, silly software features consume the progress
@hedleyfurio
@hedleyfurio 2 жыл бұрын
In layman’s terms - we are not limited for space or power except in mobile or avionics so is the forcing function of the roadmaps - higher density and faster speed with lower power for mobile phones and weight/ space constrained applications plus perhaps cloud based servers who’s input cost has a large power component ? Whilst the shrinkage and chemistry and manufacturing tolerances are impressive , is the software keeping up ? . An 8k video from an iPhone just means that you need an 8k monitor , 8 k edit suite , 8 k graphics card , more memory , faster motherboard , more SSd storage - for what ? Many years ago Nicholas Negroponte from MIT media lab said “ we are spending vast resources developing high bandwidth interconnect networks , but hardly any on what we put in one end , and how we interpret the output “ . The old garbage in garbage out story .
@Gelunox
@Gelunox Жыл бұрын
Are we ever going to see cpu's made from diamonds? I saw a discovery/natgeo episode on TV a long time ago that talked about how diamonds can be turned into a semiconductor and that they have a very good thermal conductivity, better than copper. Since then I've never heard of it again.
@TechTechPotato
@TechTechPotato Жыл бұрын
Unlikely. I never see any research on it at the conferences I go to
@vineetkumarbharti2633
@vineetkumarbharti2633 2 жыл бұрын
having writing deep dive tech articles on anandtech to making deep dive tech videos, you rocks.
@TechTechPotato
@TechTechPotato 2 жыл бұрын
Check my newsletter - www.more-moore.com
@markjackson7467
@markjackson7467 2 жыл бұрын
We need to improve the EUV light source to improve production speed moving to SSMB steady state micro bunching
@dangerwr
@dangerwr 2 жыл бұрын
Come for the tech, stay for the kitties.
@hypersonicmonkeybrains3418
@hypersonicmonkeybrains3418 Жыл бұрын
im guessing theres good reasons why they wont be using graphene.. And im wondering if Molybdenum disulfide is a type of graphene because its a 2D layer?? another thing i thought intel once mentioned was carbon nanotubes or nanowires but i dont think its mentioned here.
@FrankHarwald
@FrankHarwald Жыл бұрын
Also: if actual channel width gets below 5A then they really have to switch from FETs to tunneling transistors because no material or design can prevent tunneling through the channel at this scale.
@Anenome5
@Anenome5 Жыл бұрын
Not necessarily. You put more insulator around the wires, you get less tunneling. Lower voltage, less tunneling. Lower temperature, less tunneling. Etc.
@FrankHarwald
@FrankHarwald Жыл бұрын
@@Anenome5 I was talking about channel width, not insulation around wires. OTOH yes, one can also try to improve channel insulation, but that has already been done A LOT & I fear there won't be any space or way left to add sufficiently more insulation around channels to prevent decrease in channel off-resistance due to tunneling of charges/holes to such a point that field effect transistors can't be used as proper logic switches anymore so that engineers will have to embrace tunneling effect by using tunneling transistors & ditch field effect transistors entirely.
@PhilfreezeCH
@PhilfreezeCH 2 жыл бұрын
How can they continue to reduce cell height (given in metal tracks not physical) without increasing width though? Isn‘t that just a geometry problem at some point? I mean one obvious thing is buried power which frees up a good amount of cell height, apart from that though, are they planning to use more metal layers for cell-level tracks or what?
@kazedcat
@kazedcat Жыл бұрын
Moving to Nanosheet allows for reduction for cell height because the fins are now sideways and you can just adjust channel width to reduce the cell height. Forksheet inprove this because the N channel and P channel are now side by side separated only by a barrier so two transistor are squeeze into a width of 1.5 transistor.
@glenwaldrop8166
@glenwaldrop8166 2 жыл бұрын
Isn't the effective field of an electron 1nm? That would mean that no matter how small the circuit is we need a 1nm gap, ultimately limiting density.
@TechTechPotato
@TechTechPotato 2 жыл бұрын
The process node is a name, not an actual dimension. :)
@glenwaldrop8166
@glenwaldrop8166 2 жыл бұрын
@@TechTechPotato yeah, I posted the question before I finished the video... lol
@jrherita
@jrherita 2 жыл бұрын
Really dumb question. Why would TSMC share the roadmap with you but not allow it publicly ? Is you're doing consulting for them or something else ?
@TechTechPotato
@TechTechPotato 2 жыл бұрын
Most foundry events are no photos, no video, no audio, aside from like the first 10 minutes. They go through slides at a rate of about 6 per minute and trying to write anything down. It's mostly show and tell for C-level customers and investors.
@jrherita
@jrherita 2 жыл бұрын
@@TechTechPotato Thank you
@saintallnights7239
@saintallnights7239 2 жыл бұрын
You know you've always reminded me of the son of an old friend of mine who was a MENSA member and that shirt has only made it worse. He worked with Python at some point. His father had a Commodore 4000. I'm fairly certain you are not him but the resemblance is uncanny.
@SaccoBelmonte
@SaccoBelmonte Жыл бұрын
Thank you Ian.
@Veptis
@Veptis Жыл бұрын
Where does Graphene come in? The EU invested a lot and built half a campus for graphene semiconductors at a nearby university
@user-hj8rn5wp8z
@user-hj8rn5wp8z 2 жыл бұрын
explains a lot! thank you!
@kuhluhOG
@kuhluhOG 2 жыл бұрын
At this point I would really like to know what the maximum theoretically possible density limit for transistors would be. And with "maximum theoretically possible" I mean the limit where the laws of physics say stop, not where it stops being economically viable to do because of small yield and production cost.
@jakobmax3299
@jakobmax3299 2 жыл бұрын
I think there was some experiments involving a single molecule transistor, where (as the name says) where a single molecule reliably was able to work as a switch. I think the main problem is gonna be how do we putt a lot of these in a small space and how do we make it affordable. I think the future will be a combination of different technologys like nand flash based neural networks and conventional silicon with big simulation tasks being done by quantum computers in big data centers.
@ghoulbuster1
@ghoulbuster1 2 жыл бұрын
We will reach a point where instead of going smaller, we start building smarter transistors. A bunch of binary transistors can do some work. But take a transistor and make it do more than 2 states? That's where the big progress will come. Neuron like computers that can dynamically adapt to different jobs, plus being able to remember information on the Neuron itself.
@kuhluhOG
@kuhluhOG 2 жыл бұрын
@@jakobmax3299 two question: 1. what was the molecule 2. how big was the molecule don't forget, he mentioned atom-sized sheets here
@kuhluhOG
@kuhluhOG 2 жыл бұрын
@@ghoulbuster1 quantum computers are similar to what you are describing
@jakobmax3299
@jakobmax3299 2 жыл бұрын
@@kuhluhOG I dont remember, but thats not even the point. Transirors as we know the have a size minimum, and at some point we have to make the choice between affordable chips and the most powerfull chips.
@darknase
@darknase Жыл бұрын
Would be great if you could talk about SiC and GaN and for good measure may be even throwing in GaAs, which was long time the contender for replacing Si/SOI
@lexsanderz
@lexsanderz 2 жыл бұрын
I'm 30 now so by the time I'm 40 will this make zen 10 and rtx 9090 perf inconceivable?
@DB-nl9xw
@DB-nl9xw 8 ай бұрын
Can you make a video to explain how does a modern IC works
@mduckernz
@mduckernz 2 жыл бұрын
Do you expect we will see non-photon-based lithography - electrolithography for example, with electrons? After all their frequency can be a lot higher than EUV, making their achievable resolution a lot better (until you go high enough that you start eroding surfaces of course…) Of course, this would require new types of resists and so on.
@larandi
@larandi Жыл бұрын
An Epic T-shirt man.
@jokertakerninjajk2251
@jokertakerninjajk2251 2 жыл бұрын
Beyond EUV we will need to put on our big boy pants and use electron lithography to make ASICs :P 100000 dollar i3 LETS GOOOO!!!
@fraserjeffrey7508
@fraserjeffrey7508 2 жыл бұрын
At what point along this roadmap do you think that noticeable proportion of generational performance uplifts (or simply energy improvements) will come from integration of optics into the package? There are plenty of optical tech S-curves that can stack - providing improvement runway for 30+ years (at the rate we have historically demanded from the semiconductor industry). This doesn't seem to be true of our good friend the silicon FET.
@TechTechPotato
@TechTechPotato 2 жыл бұрын
Optics looks good for data transport, not so much for compute. Density could be a real issue there.
@RickeyBowers
@RickeyBowers Жыл бұрын
Is there research into other geometries or are the creation of transistors the only goal?
@lajosbaranyi7333
@lajosbaranyi7333 2 жыл бұрын
Where is the Optical bus? Where is the electrin spin circuit
@dimadamag
@dimadamag Жыл бұрын
So in 2023 is the best time to upgrade from intel dual core ? Or in 2024
@pup4301
@pup4301 2 жыл бұрын
Any idea on tech to help you print you own chips in your home? Sounds crazy but I think this is where we should be going and not towards the continued centralization of chip production.
@TechTechPotato
@TechTechPotato Жыл бұрын
Check out Sam Zeloof. He's doing that sort of thing
@pup4301
@pup4301 Жыл бұрын
@@TechTechPotato I have been tracking his progress for a while now. I was talking more about companies creating machines and the such.
@将軍九八.彁
@将軍九八.彁 10 ай бұрын
Clockspeeds are going to suffer node over node going forward.
@Drumaier
@Drumaier Жыл бұрын
Great video, thanks!
@glenwaldrop8166
@glenwaldrop8166 2 жыл бұрын
Why aren't they moving to a new semi-conductor earlier? Density notwithstanding, a lower switching voltage would benefit everything, could possibly double clock speeds and halve power usage at the same time.
@Jaker788
@Jaker788 2 жыл бұрын
TSMC has started researching alternative materials. I'm guessing this will materialize as new doping materials first and some R&D into manufacturing these alternative wafers, doping materials to create a band gap if needed, how to do lithography, and characteristics. We've as a whole been researching alternatives for a while now. The hard part is finding one that is a decent semiconductor, or how to make something that's not a semiconductor actually be one with good characteristics.
@glenwaldrop8166
@glenwaldrop8166 2 жыл бұрын
@@Jaker788 Graphene sounds promising, kinda wonder if carbon could be added to silicon... if they could even slightly improve it's conductivity heat would drop massively.
@Jaker788
@Jaker788 2 жыл бұрын
@@glenwaldrop8166 The main problem is how to turn a superconductor into a semiconductor. They haven't found a good doping material yet for graphene
@kazedcat
@kazedcat Жыл бұрын
You need to turn off your transistor. Carbon is very good turning on but bad when turning off. That is the problem. If what you want is just a good conductor then use copper.
@glenwaldrop8166
@glenwaldrop8166 Жыл бұрын
@@kazedcat You're ignoring half of my comment. The switching voltage needs to be lower, hence adding a conductive material to the silicon.
@Wonders_of_Reality
@Wonders_of_Reality Жыл бұрын
What a beautiful laptop you have! As for aesthetics, I like the choice.
@abelgerli
@abelgerli 2 жыл бұрын
And the van der waaals atomic radius of silicon is about 0.22 m. That would be the ultimate wall. Check it at wikipedia.
@TechTechPotato
@TechTechPotato 2 жыл бұрын
Or, check it at wikipedia that node names are NAMES, not distances.
@abelgerli
@abelgerli 2 жыл бұрын
@@TechTechPotato That's clear but you can count atoms right now and thats the natural border to quantum weirdness !
@falconeagle3655
@falconeagle3655 Жыл бұрын
I think there will be improvements on EUV specially on the cost side. I think some completely new design thinking will come around 2032 mark.
@metallurgico
@metallurgico Жыл бұрын
Measuring units MUST NOT be used as marketing terms. I bet Intel or AMD or some other company wouldn't like my fake dollars for their fake products.
@fracturedlife1393
@fracturedlife1393 2 жыл бұрын
Ow yer poor finger
@joelcarson4602
@joelcarson4602 Жыл бұрын
From what I am understanding, we are running into problems that the next EUV lithography machines from ASML and the resist materials are just running into problems getting any smaller and things like multi patterning won't help much, but will slow down wafer processing until it's currently uneconomical except for producing extremely expensive high performance chips that will not make its way into the hands of the average consumer.
@bakedbeings
@bakedbeings Жыл бұрын
I think that's what he meant when he said photolithography won't progress past euv in his lifetime (if ever)
@Dr_Mario2007
@Dr_Mario2007 Жыл бұрын
CFETs are technically forksheet GAAFETs, so it's not surprising that it's not on TSMC's roadmap, so it's akin to putting all eggs in a basket before anything else.
@TechTechPotato
@TechTechPotato Жыл бұрын
EUV used to be called Soft X-Ray in the 80s.
@Dr_Mario2007
@Dr_Mario2007 Жыл бұрын
Sorry, had to delete the EUV lithography part, KZbin kept butchering my edit. LOL Welp. And yep, X-ray spectrum is honestly not as strongly defined though. X-ray and EUV lithography is challenging, especially, unfortunately with Tin sources having efficiency problems. Making very short wavelength light is no easy task.
@Dr_Mario2007
@Dr_Mario2007 Жыл бұрын
Still, kinda looking forward to the Silicon-free transistor future as the Dark Silicon is kinda a problem, especially with leakages of Silicon transistors.
@Mynx31
@Mynx31 2 жыл бұрын
Smoke in the air! - Smoke in the air!
@BlindBison
@BlindBison 2 жыл бұрын
What mouse are you using mate? Great video as usual. Thanks!
@TechTechPotato
@TechTechPotato Жыл бұрын
MX Master
@BlindBison
@BlindBison Жыл бұрын
@@TechTechPotato thanks man, looks comfortable
@_TeXoN_
@_TeXoN_ Жыл бұрын
True 0.2nm Processors will never exist. That would mean that the feature size is smaller than a silicon atom. Instead we are talking about 3D equivalent marketing feature sizes.
@PaintsAreOp
@PaintsAreOp 2 жыл бұрын
Rocket Lake came in 2021!
@sinephase
@sinephase Жыл бұрын
I thought IBM does research into novel processor tech?
@Dahs312
@Dahs312 2 жыл бұрын
Any news in graphene?
@kazedcat
@kazedcat Жыл бұрын
It is still bad turning off.
@Dahs312
@Dahs312 Жыл бұрын
@@kazedcat yes, but rotated Graphene solved that problem
@kazedcat
@kazedcat Жыл бұрын
@@Dahs312 So you rotate graphene to turn it off. How fast can you rotate graphene to do this. Mechanical switch is very slow.
@rndompersn3426
@rndompersn3426 2 жыл бұрын
I wonder if the power consumption for EUV will put TSMC at a disadvantage, being an island with limited energy capability. The USA though has lots of capability so it might give Intel an advantage being the US has so much energy potential.
@PhilfreezeCH
@PhilfreezeCH 2 жыл бұрын
You can plop down a nuclear reactor capable of delivering >1GW in basically any region so I don‘t really see that being a problem. Also looking at the news, the US might have larger production capacity but their grid seems to be really really old and mismanaged with all the outages in Texas or fires in California. And obviously if Taiwan ever manages to somehow burry the hatchet with China for good, they could realize something like the Asian super grid and build grid interconnects.
@vensroofcat6415
@vensroofcat6415 Жыл бұрын
Frankly just looking at the slide you can see the end is nigh. Can't go beyond a single atom. And even at that scale quantum effects step in already. Can't average output from the group of one. Plus every atom must be placed perfectly and not get cracked by some interstellar rogue particle sh!t. We are pretty much at the peak development right now. Enjoy it. The rest is squeezing last juice. "Computer enthusiast" may sound as niche as toaster enthusiast some day. Well done report, thumbs up.
@Vvvrrrmmm
@Vvvrrrmmm Жыл бұрын
What ever happened to graphine as a material?
@panscrank
@panscrank 2 жыл бұрын
Same background as Gamers Nexus. #SHARINGAHOTELROOM
@TechTechPotato
@TechTechPotato 2 жыл бұрын
We tried to top and tail, but AMD insisted we had our own rooms.
@panscrank
@panscrank 2 жыл бұрын
@@TechTechPotato brilliant
@Dangerman-zg3ui
@Dangerman-zg3ui 2 жыл бұрын
My big concern is we won't even hit A14 if Intel flops with 20A/18A and TSMC gets super complacent with their 14A not arriving until late 2027 the earliest and Apple hogs it for 2 years.
@chrisdrew9767
@chrisdrew9767 2 жыл бұрын
I wouldn't be so down with Apple, they are bulk buying and paying up front which helpings funds TSMC's ongoing development. They are an important anchor tenant.
@zyxwvutsrqponmlkh
@zyxwvutsrqponmlkh Жыл бұрын
When will ebeam lithography take off? Seems like a no brainer to me.
@mickmiah7605
@mickmiah7605 Жыл бұрын
Absolutely fascinating TY mate. Subbed n liked. As you bought up your youthfulness take it from an old man protect your wrist, arm, mouse-finger, and generally hand and get yourself a decent vertical mouse. It's either that or you can join the team who need their palms injected regularly. Best wishes.
@gaius100bc
@gaius100bc Жыл бұрын
I have exact same mouse. It's pretty good
@paulmichaelfreedman8334
@paulmichaelfreedman8334 Жыл бұрын
It's pronounced Ongstrum, with it being spelled ångström and all. Swedish name. Should have just been in picometers. What's wrong with P1400, P900, etc.
@OrjonZ
@OrjonZ 2 жыл бұрын
This is super interesting stuff.
@zilog1
@zilog1 2 жыл бұрын
you left the ND filter off the camera :p
@georgeshapiro301
@georgeshapiro301 2 жыл бұрын
TIL Dr. Ian plans to live hard and die young :)
@vorpalinferno9711
@vorpalinferno9711 2 жыл бұрын
Enough Silicon. It is clear this technology is stagnating and we have reached a point of diminishing returns. Bring on Graphene and Optocomputing. Also where are those Quantum computers?
@PhilfreezeCH
@PhilfreezeCH 2 жыл бұрын
It is easy to throw around buzzwords you heard on the news at some point, it is much harder to actually build those things. I doubt we will abandon silicon anytime soon just because it works pretty well right now and there is a lot of design and manufacturing experience in it. Neither is the case for anything you mentioned.
@vorpalinferno9711
@vorpalinferno9711 2 жыл бұрын
@@PhilfreezeCH But it is true that silicon is stagnating. It is taking too much effort to r&d new lithography methods and then the manufacturing costs per wafer are going up every node. It is time for something disruptive and new.
@kazedcat
@kazedcat Жыл бұрын
I also want a billion dollar and a private island. Just because you want something new does not mean it will happen. The roadmap is the most viable plan for the future studied and developed by very smart people at IMEC.
@FroggyTWrite
@FroggyTWrite Жыл бұрын
getting older and aging in general sure does suck eh?
@hupekyser
@hupekyser 10 ай бұрын
I really cannot see there being anything beyond 2d materials in the mid 30s or maybe early 40s surely has to be it. Where else can it go?
@TechTechPotato
@TechTechPotato 10 ай бұрын
There's single molecule transistors, however you need them to offer comparable power and perf. Then it's all about stacking and power density
@PoisonNuke
@PoisonNuke Жыл бұрын
@TechTechPotato: wouldnt be so sure about the EUV thing in your lifetime. Maybe its the last generation of masked lithography, but there is no reason that efficient ways of additive manufacturing will be found, or using directed electron-beams, which are able to scan and scale better then the current technology. There are lots of papers in the regards of additive lithography. So I bet we will see something like that in our lifetime
@BlunderMunchkin
@BlunderMunchkin Жыл бұрын
While I love the shirt, I'm skeptical that you are old enough to remember the C64.
@TechTechPotato
@TechTechPotato Жыл бұрын
It was my machine growing up. I'd write the BASIC programs out from the C64 magazines I'd get every month. Bubble Bobble on tape took 7 minutes to load.
@TXPer
@TXPer 2 жыл бұрын
nice laptop
This is How IBM Will Revolutionize PC Gaming
20:29
TechTechPotato
Рет қаралды 182 М.
The Gate-All-Around Transistor is Coming
15:44
Asianometry
Рет қаралды 461 М.
لااا! هذه البرتقالة مزعجة جدًا #قصير
00:15
One More Arabic
Рет қаралды 52 МЛН
Yum 😋 cotton candy 🍭
00:18
Nadir Show
Рет қаралды 7 МЛН
Survive 100 Days In Nuclear Bunker, Win $500,000
32:21
MrBeast
Рет қаралды 163 МЛН
ROLLING DOWN
00:20
Natan por Aí
Рет қаралды 10 МЛН
How Chip Giant AMD Finally Caught Intel
15:08
CNBC
Рет қаралды 1,4 МЛН
Linus Torvalds: Speaks on Hype and the Future of AI
9:02
SavvyNik
Рет қаралды 172 М.
The True Cost of Processor Manufacturing: TSMC 7nm
18:51
TechTechPotato
Рет қаралды 250 М.
Jim Keller: Arm vs x86 vs RISC-V - Does it Matter?
10:11
TechTechPotato: Clips 'n' Chips
Рет қаралды 86 М.
Gate-All-Around - The Future of Transistors
12:26
High Yield
Рет қаралды 134 М.
Why AMD's Chiplets Work
12:53
Asianometry
Рет қаралды 295 М.
I Want To Test This CPU
19:08
TechTechPotato
Рет қаралды 22 М.
When you Accidentally Compromise every CPU on Earth
15:59
Daniel Boctor
Рет қаралды 795 М.
The Magic of Transistors: TSMC's Path to A16!
6:51
TechTechPotato
Рет қаралды 82 М.
لااا! هذه البرتقالة مزعجة جدًا #قصير
00:15
One More Arabic
Рет қаралды 52 МЛН