This can be the Best Free VLSI resource in NPTEL | NPTEL July Sem |

  Рет қаралды 8,017

whyRD

whyRD

Күн бұрын

Пікірлер: 60
@soutikdey1370
@soutikdey1370 Жыл бұрын
Keep bringing these contents 😊😊 Already submitted 1st week's assignment
@whyRD
@whyRD Жыл бұрын
Wow great going 😀
@prayanshshrivastava
@prayanshshrivastava Жыл бұрын
Thankyou Bhaiya, l already saw your comment on professor's post regarding this course on linkdin 😅.
@whyRD
@whyRD Жыл бұрын
Oh great
@r-23gamer23
@r-23gamer23 Жыл бұрын
My graduation is over and I am waiting for my joining into a college an I enroll for this courses.
@rubygraces8319
@rubygraces8319 Жыл бұрын
Can I take this anytime from now or just this semester?
@MidnightEngineer
@MidnightEngineer Жыл бұрын
thanks brother , i have registered for Hardware Modelling using Verilog
@saisailushapanigrahi786
@saisailushapanigrahi786 Жыл бұрын
Is Maven silicon is best training company
@avikghosh4762
@avikghosh4762 Жыл бұрын
Sir can u plzz make a video of preparation of ur gate preparation..as I prepared and parallelly studying for vlsi field,
@Er.Ajaychandra
@Er.Ajaychandra Жыл бұрын
sir from where i should learn c programming which i can use in VLSI? for analog and digital we need to learn only C programming? before starting any software.
@tanujKumar-ec3uv
@tanujKumar-ec3uv Жыл бұрын
For VLSI you should know only basic C like if-else , switch case, loops, .... instead of learning C just go through Verilog programming..here you will learn how to connect small digital gate to bigger circuit like counter , shift register.....for analog don't need any programming, here you have to design circuit by drag and drop the components.
@Janhavi_pradhan
@Janhavi_pradhan Жыл бұрын
Hi sir I also enrolled in this course Now I have 1 doute I want to do VLSI course in Bangalore there are various institute but witch institute is best for me with 100% placement please suggest me
@whyRD
@whyRD Жыл бұрын
No institution provide 100% placements, in general 5-10% best student get it , they say 100% placements assistanship which never mean a guaranteed job …. Talk with few institutions alumni and then decide
@sarjeetchaudhary7633
@sarjeetchaudhary7633 Жыл бұрын
I am a Physical design trainee just graduated this year.. i want to ask that is this course and the book u mentioned is useful for physical design. as I want to brush up and know more about PD. and I request you if any resource available for PD tool work
@geethamruthareddy4340
@geethamruthareddy4340 Жыл бұрын
hey..Geetha this side. I'm currently in my 7th sem.Should i focus on projects or courses.Thanks for recommending these courses.
@whyRD
@whyRD Жыл бұрын
This time of course focus must be on projects , after that if you have time then only take these courses
@bhaveshsai2202
@bhaveshsai2202 Жыл бұрын
sir @whyRD i am good with the basics of Verilog and I am through with the different modelling now in my college I have completed the cadence tool training in which I have used virtuso now I am confused wether to take Hardware modeling nptl or Rtl to gds nptl . Please help and wether this rtl to gds course use cadence for practical ?
@satyajitrajbanshi3620
@satyajitrajbanshi3620 Жыл бұрын
Bro I need a detailed preparation video on Analog Design Engineer profile
@Fucos_on
@Fucos_on Жыл бұрын
Is this course available for international students(Bangladesh)?
@whyRD
@whyRD Жыл бұрын
Answered in latest video
@aryasree1317
@aryasree1317 Жыл бұрын
Hey bro , Currently I'm working in telecom sector and i need to switch my career to vlsi .. so can u please help me how to start the preparation.. i already planned to join a course in maven silicon. Is there anything more do i need to do ?
@AaliHamzaa
@AaliHamzaa Жыл бұрын
Brother, how can somebody from Bangladesh participate NPTEL in proctored exams? Please enlighten me on this. They're saying "it is possible" in the course detail but idk how.
@whyRD
@whyRD Жыл бұрын
Answered in latest video
@AaliHamzaa
@AaliHamzaa Жыл бұрын
@@whyRD Thanks a lot😊
@utkarshjha657
@utkarshjha657 Жыл бұрын
Bro, I'm getting afraid after seeing the college syllabus full of physics and other concepts which I don't know! What should I do to be calm? (MTech VLSI)
@whyRD
@whyRD Жыл бұрын
Revise semiconductor physics again , also few topics on maths like differential equation
@rahulkumarsahni8765
@rahulkumarsahni8765 Жыл бұрын
Which course should be taken if i am going to join 1st semester mtech this year Please suggest
@whyRD
@whyRD Жыл бұрын
Hi dont take courses depending on which year of study rather take it with conjunction of your knowledge, like if you still to learn verilog , take verilog or if you still have confusion around analog basics take that subject , being in MTech imply mostly you need very less time to cover those starting subjects
@YashChauhan-wp7xx
@YashChauhan-wp7xx Жыл бұрын
hey rajdeep, yash this side, my 7th sem is going to start from august and i am thinking of taking the hdl course by iitk and the vlsi course u recommended, should i take them both or will it become unbearable to handle them simultaneously. also that do any of these certification will give an extra edge in my cv or are they just another line to be filled in resume.
@whyRD
@whyRD Жыл бұрын
Go for hdl only , vlsi flow will be available in youtube so can watch it inyour own time ,,,, register for verilog course and do it perfectly
@YashChauhan-wp7xx
@YashChauhan-wp7xx Жыл бұрын
​@@whyRD​ thnx rajdeep, will take the hdl course for sure
@Taiyab0707
@Taiyab0707 Жыл бұрын
For windows 11 ke liye xilinx ise kese install kre ek bhi video nahi mili please help me🥺
@whyRD
@whyRD Жыл бұрын
Search Linux dual booth with wondow 11 , my system is window 11 and ubantu dual booth
@SunathManchu22-go7nj
@SunathManchu22-go7nj Жыл бұрын
Design and verification course?
@s.ganeshgowtham1392
@s.ganeshgowtham1392 Жыл бұрын
Sir, I need the best digital electronics course .I am unable to find it in nptel .Please suggest I want to revise before verilog learning
@whyRD
@whyRD Жыл бұрын
If you want revise quickly search gate academy digital electronics crash course , they have few long video to give a quick revise
@ffushiguro
@ffushiguro 7 ай бұрын
I saw a guy said refer digital ic design by iit madras sufficient as per ur desire
@krishnadhas2232
@krishnadhas2232 Жыл бұрын
Can u upload videos related iNML design using MagCAD tool
@whyRD
@whyRD Жыл бұрын
Sorry i never used then
@ajay5381
@ajay5381 Жыл бұрын
Proud to be part of iiit delhi
@rohithroshan1861
@rohithroshan1861 Жыл бұрын
Hi brother , i am going for mtech , and I had a knowledge on PD as took a course from CHIPEDGE , now I am looking for frontend , is RTL TO GDS is sufficient??
@whyRD
@whyRD Жыл бұрын
Rtl to gds is mainly for backend , for front end we extensively need verilog and digital ic deaign concepts and project aligning to those …. This course is like a gist of everything all the expect of vlsi design flow
@impulseworld777
@impulseworld777 Жыл бұрын
Thank you sir, I enrolled two courses, you are helping a lot
@koushiksen720
@koushiksen720 Жыл бұрын
Mera btech branch hai optics and optoelectronics mai kya vlsi industry k liye eligible hu?
@whyRD
@whyRD Жыл бұрын
Yes you are
@heyakilan
@heyakilan Жыл бұрын
Hey bruh i am going to 3 rd year ece ,can i join these vlsi rtl to gds Course ?to start my carrier on vlsi
@whyRD
@whyRD Жыл бұрын
Yes you can
@prasadgajjal6788
@prasadgajjal6788 Жыл бұрын
Thanks for Informing
@gvr197
@gvr197 Жыл бұрын
Thank you ❤
@Naveen_408
@Naveen_408 Жыл бұрын
is there any negative marks in nptel final exam?
@karthikr561
@karthikr561 Жыл бұрын
no
@MosfetBjt
@MosfetBjt Жыл бұрын
Great content ❤.
@whyRD
@whyRD Жыл бұрын
Thanks , Please consider sharing this to your network among batchmates
@Prateek_61
@Prateek_61 Жыл бұрын
Bro gonna take 2 courses this semester for 4 credits as optional from these
@whyRD
@whyRD Жыл бұрын
Great
@nandinichatterjee3987
@nandinichatterjee3987 Жыл бұрын
👍🏽
@shrithanosmaharaj8679
@shrithanosmaharaj8679 Жыл бұрын
zor zor se sabko scheme bata de
@whyRD
@whyRD Жыл бұрын
😹
@karthikdarling1246
@karthikdarling1246 Жыл бұрын
Thank you 👍
@whyRD
@whyRD Жыл бұрын
Your are most welcome , please consider sharing this among you friends circle, batchmates whatssapp group
Life hack 😂 Watermelon magic box! #shorts by Leisi Crazy
00:17
Leisi Crazy
Рет қаралды 80 МЛН
Kluster Duo #настольныеигры #boardgames #игры #games #настолки #настольные_игры
00:47
How it feels when u walk through first class
00:52
Adam W
Рет қаралды 22 МЛН
REAL 3D brush can draw grass Life Hack #shorts #lifehacks
00:42
MrMaximus
Рет қаралды 10 МЛН
Learn VERILOG for VLSI Placements for FREE | whyRD
16:38
How to get a Job in VLSI  ? Genuine Analysis
9:19
GatePro by VSR Suresh
Рет қаралды 2,6 М.
A Day in the Life of a Software Engineer at Meta (previously Facebook)
8:34
VLSI Design Flow: RTL to GDS - Course Intro
10:01
NPTEL-NOC IITM
Рет қаралды 49 М.
The AI Hardware Problem
13:26
New Mind
Рет қаралды 529 М.
Indian web design: cheap, but it works. Here's why
9:58
Phoebe Yu
Рет қаралды 329 М.
Life hack 😂 Watermelon magic box! #shorts by Leisi Crazy
00:17
Leisi Crazy
Рет қаралды 80 МЛН