NPTEL JULY2024
16:23
7 ай бұрын
Пікірлер
@User--jm5911
@User--jm5911 17 сағат бұрын
Hi, can u suggest TCl scripting courses or recorded sessions, where can we learn, please say scripting related also
@sathvikalakkasandram6723
@sathvikalakkasandram6723 6 күн бұрын
how to access vlsi rtl to gds in swayam portal please tell,
@TeddyDarling-s7b
@TeddyDarling-s7b 6 күн бұрын
For pd engineers there is no work life balance, companies are making us to work even on weekends and midnights
@paavanim2670
@paavanim2670 8 күн бұрын
Sir i need to learn tools/course for vlsi design using ai.where can i find them.please do reply
@ec-048nishitha9
@ec-048nishitha9 9 күн бұрын
please suggest some internship opportunities
@RidingFreaks27
@RidingFreaks27 12 күн бұрын
I want to get a job in this field without mtech but how can i get please tell
@shiamahamedluvon117
@shiamahamedluvon117 12 күн бұрын
module top_module( input [399:0] a, b, input cin, output cout, output [399:0] sum ); wire [99:0]carry; bcd_fadd bcd1(a[3:0], b[3:0], cin, carry[0], sum[3:0]); genvar i; generate begin for(i=4; i<400; i=i+4)begin:gen bcd_fadd bcd1(a[i+3:i], b[i+3:i], carry[(i/4)-1], carry[i/4], sum[i+3:i]); end:gen end endgenerate assign cout=carry[99]; endmodule
@asifsir2689
@asifsir2689 14 күн бұрын
// It can be simplified, by boolean algebra or Karnaugh maps. // assign f = (~x3 & x2) | (x3 & x1);
@ricardosanchezlopez4244
@ricardosanchezlopez4244 15 күн бұрын
This is one of the most important lecture of the 30 days, thank you so much sir.
@funnnnnnhubbb3252
@funnnnnnhubbb3252 16 күн бұрын
Mtech in communication engineering is eligible for vlsi placements?
@AnjaliKhade-ef6gn
@AnjaliKhade-ef6gn 17 күн бұрын
Bhaiya thanks billion for making this video
@priyamroy3864
@priyamroy3864 17 күн бұрын
how to resolve the compatibility issue
@ramsenaraamasundaram3213
@ramsenaraamasundaram3213 17 күн бұрын
Hi Raj anna any good books that I can use for Verilog ?
@ricardosanchezlopez4244
@ricardosanchezlopez4244 19 күн бұрын
Thank you for the lectures sir, greetings from Mexico.
@AmrutanshuMishra-dp1mf
@AmrutanshuMishra-dp1mf 19 күн бұрын
amazing!
@veera5483
@veera5483 19 күн бұрын
man, where is day4 video, we really want that
@QuanTruongHoang-ts2zw
@QuanTruongHoang-ts2zw 19 күн бұрын
Great explain bro. You logically connected all the terms and also explain very well about the skillset requirement. Really helped me to decide what to study first. Thanks alot
@satyambhaskar9311
@satyambhaskar9311 21 күн бұрын
Created a synthesizable module, tried with generate but not successful. I have to use integer and its working fine. module top_module( input [254:0] in, output [7:0] out ); integer i=0; always @(*) begin out=0; for(i=0;i<255;i=i+1) out = out+in[i]; end endmodule
@alladiyadamma1015
@alladiyadamma1015 23 күн бұрын
I seen you in intel srr4😂
@ricardosanchezlopez4244
@ricardosanchezlopez4244 24 күн бұрын
I'd like to share my code: module multiplexer4_to_1(output [7:0] out, input [7:0] i0, input [7:0] i1, input [7:0] i2, input [7:0] i3, input [1:0] s); assign out = s[1] ? (s[0] ? i3 : i2) : (s[0] ? i1 : i0); endmodule module top_module ( input clk, input [7:0] d, input [1:0] sel, output [7:0] q ); wire [7:0] line_0; wire [7:0] line_1; wire [7:0] line_2; wire [7:0] line_3; wire [1:0] slect; assign slect = sel; assign line_0 = d; my_dff8 module_1(.clk(clk), .d(line_0), .q(line_1)); my_dff8 module_2(.clk(clk), .d(line_1), .q(line_2)); my_dff8 module_3(.clk(clk), .d(line_2), .q(line_3)); multiplexer4_to_1 module_4(.i0(line_0), .i1(line_1), .i2(line_2),.i3(line_3), .s(slect), .out(q) ); endmodule
@DevRaj-xd3mf
@DevRaj-xd3mf 24 күн бұрын
Sir can u please help me out with it
@DevRaj-xd3mf
@DevRaj-xd3mf 26 күн бұрын
How to design a pop counter in cadnce
@MrKnaha
@MrKnaha 28 күн бұрын
Which platform coding is done
@pavan7048
@pavan7048 29 күн бұрын
Yeh it is possible only for those who studied in iit, nit and top most colleges like tire1 and tire2 colleges
@vsaisravanthi2701
@vsaisravanthi2701 Ай бұрын
Vlsi or ai which is best career
@illuruvigneswarreddy9469
@illuruvigneswarreddy9469 Ай бұрын
Hi Rajdeep.. Make a video on how to prep for switching company in VLSI industry (digital domain)
@AbhishekPratapSingh-d6d
@AbhishekPratapSingh-d6d Ай бұрын
What are some service based and product based VLSI companies?
@AbhishekPratapSingh-d6d
@AbhishekPratapSingh-d6d Ай бұрын
bro make vlsi profile video
@Fact_Stream_01
@Fact_Stream_01 Ай бұрын
As you told if we are in bond for 3_4y and my domain is also good. But I want change before my bond (if breaking bond) so how it will affect my future further.....needed little clarity over this .
@prathamva7392
@prathamva7392 Ай бұрын
12:23 You are assigning 3 bit to single bit a and b, which is incorrect.
@Anonynnsn
@Anonynnsn Ай бұрын
thanks alot. Very informative bhaiya
@swetakumari9626
@swetakumari9626 Ай бұрын
I have to really meet you atleast once in my life, your videos really help me. Thank you
@shiamahamedluvon117
@shiamahamedluvon117 Ай бұрын
module top_module( input [31:0] a, input [31:0] b, input sub, output [31:0] sum ); wire cout; // Perform bitwise XOR between `sub` and `b` to create a 32-bit modified vector wire [31:0] b_xor_sub = b ^ {32{sub}}; // Call add16 modules add16 f1(a[15:0], b_xor_sub[15:0], sub, sum[15:0], cout); add16 f2(a[31:16], b_xor_sub[31:16], cout, sum[31:16]); endmodule
@crazyBoy-p2s
@crazyBoy-p2s Ай бұрын
please reply
@crazyBoy-p2s
@crazyBoy-p2s Ай бұрын
bro I need your help
@jaigans3125
@jaigans3125 Ай бұрын
Pls make a video on VLSI profile ✨
@EEShyama
@EEShyama Ай бұрын
Great video!! Would love to see what you follow for meditation and yoga
@venkat0536
@venkat0536 Ай бұрын
Can you share physical design notes
@venkatasai7968
@venkatasai7968 Ай бұрын
I am right now studying in final year i am confused of joining cdac or other private institutes in Bangalore for training which cost more than 1lac tution fee and hostel and expenses more that to huge and 6 months to 1year time taking worth it (Or) Doing mtech in top private universities like srm,vit which cost like 5 to 6 lacs tution fee
@Lol-dt1mw
@Lol-dt1mw Ай бұрын
Best way I will suggest go for gate exam...invest in some online courses for gate classes like PW for less fees else go for ACE / Made Easy. But give your 100 percent and join MTech in any iit/iisc for vlsi or allied courses and trust me after 1 year of hardwork and consistency in ur first 2 sems you will end up in good company with good ctc. Don't stress much about your age, but you have to be very much dedicated to this industry and package should not be the goal....it is byproduct of ur hardwork....I have seen my friends who came for MTech only for ctc and by looking at course load they are regretting. Online training is all scam. They will give you knowledge whichever is necessary for comapany and won't guide in depth. Hope it helps!
@venkatasai7968
@venkatasai7968 Ай бұрын
@@Lol-dt1mw thank u
@E.PrasanthNani
@E.PrasanthNani Ай бұрын
can you give suggestions and Please make a video on freshers in vlsi for 2024 batches or any batches
@joewade4154
@joewade4154 Ай бұрын
Brother I got to know sooooo many things about vlsi by watching your video Im currently in 3rd year thankyou so much and keep uploading such videos 🙌🏻❤️
@shaunaklothe6255
@shaunaklothe6255 Ай бұрын
Hi how to contact you?
@Sulaiman-km4dz
@Sulaiman-km4dz Ай бұрын
Which domain are you brother?
@suryakiran-wx6ds
@suryakiran-wx6ds Ай бұрын
Bro can u make this video again
@ashutoshece651
@ashutoshece651 Ай бұрын
Are there any jobs in vlsi? Don't get fooled guys.in reality very very less jobs with uncertainty
@shaunaklothe6255
@shaunaklothe6255 Ай бұрын
Hi can we have a convo?
@BotPlayz9123
@BotPlayz9123 Ай бұрын
yes bro please make a video on VLSI profile
@ragunath_4
@ragunath_4 Ай бұрын
yes bro make a video on VLSI profile 24:24
@bhargavir2935
@bhargavir2935 Ай бұрын
Kvian forever
@alkamishra3090
@alkamishra3090 Ай бұрын
Hey RD love your content!! , just wanted to know that right now im in my 4th sem ECE at DTU and I dont know how to know if I am ready for applying for VLSI internship opportunites or not , what would you suggest for me to make sure that I am industry ready and dont face rejections in internship openings
@shaunaklothe6255
@shaunaklothe6255 Ай бұрын
Hi... Can we have a convo! (Second year ETC student)
@alkamishra3090
@alkamishra3090 Ай бұрын
@@shaunaklothe6255 yeah suree
@manishsingh-yv1nh
@manishsingh-yv1nh Ай бұрын
is joining intel a good choice now??
@jingalala-yg4dp
@jingalala-yg4dp 17 күн бұрын
what about getting a job.
@Ani-t1z
@Ani-t1z Ай бұрын
baap of all iit is iisc
@gamingwithaakif2529
@gamingwithaakif2529 Ай бұрын
IIT Bhubaneswar diploma VLSI course is it worthy