Timescale in Verilog | System Verilog timescale | Compiler Directive `timescale | Verilog Time delay

  Рет қаралды 11,791

Electronicspedia

Electronicspedia

Күн бұрын

In this Video, I have explained about What is Verilog/System Verilog Compiler Directive `timescale. How these `timescale determine the delay units specified in the design. How `timescale will be used to calculate delay units in design.
Keywords:
Timescale in Verilog, Timescale in System Verilog, Verilog Time Precision, Verilog Time Unit, Verilog compiler directive, Verilog `timescale directive, System Verilog Time Precision, System Verilog Time Unit, System Verilog compiler directive, System Verilog `timescale directive, Verilog delay units, System Verilog delay Units, Electronicspedia, Best VLSI channel, VLSI KZbin channel, VLSI Design lectures, VLSI course, Verilog Tutorials, Basics of Verilog, Basics of System Verilog, Verilog coding, VLSI Design,
#verilog #timescale #systemverilog
Credits:
1. A Magical Journey Through Space by Leonell Cassio | / leonellcassio
Music promoted by www.free-stock...
Creative Commons Attribution-ShareAlike 3.0 Unported
creativecommon...

Пікірлер: 10
@Electronicspedia
@Electronicspedia 2 жыл бұрын
Please Like, Share and Subscribe to my channel kzbin.info
@preetamdewangansirclasses2502
@preetamdewangansirclasses2502 Жыл бұрын
sir $realtime will equal to 15.6 ns only. $time will be equal to 16ns.
@Electronicspedia
@Electronicspedia Жыл бұрын
Yes you are right. Thanks for highlighting. $time returns the integer value and $realtime returns the real number. 👍👍
@RanjanSingh-pm6ze
@RanjanSingh-pm6ze 2 жыл бұрын
Sir if possible then please make a playlist on system verilog. Because there is no one at KZbin who is teaching like you. Everyone is using just ppt for teaching purpose. Even there are many famous institute they are just using ppt.
@Electronicspedia
@Electronicspedia 2 жыл бұрын
Thanks for your compliments. Sure will do system verilog concepts.
@Platica.Vasile
@Platica.Vasile 6 ай бұрын
Thank you for the quick video, but for a more thoroughly you should corelate this with a timer to see exactly how the timescale affects the program.
@nenadmilutinovic4752
@nenadmilutinovic4752 8 ай бұрын
Hello Sir, what will 15.5 be rounded off to? 16 or 15? Thank you in advance!
@rahulbhadoria_1161
@rahulbhadoria_1161 Жыл бұрын
Thank you Sir for this simple explanation 👏👏🤗
@preetamdewangansirclasses2502
@preetamdewangansirclasses2502 Жыл бұрын
sir kindly extend this discussion considering ps as a precision
@pushpendranayak3235
@pushpendranayak3235 Жыл бұрын
Nice
Epic Reflex Game vs MrBeast Crew 🙈😱
00:32
Celine Dept
Рет қаралды 33 МЛН
Un coup venu de l’espace 😂😂😂
00:19
Nicocapone
Рет қаралды 9 МЛН
小路飞嫁祸姐姐搞破坏 #路飞#海贼王
00:45
路飞与唐舞桐
Рет қаралды 28 МЛН
This mother's baby is too unreliable.
00:13
FUNNY XIAOTING 666
Рет қаралды 35 МЛН
timescale in Verilog | Verilog Tutorial | Delay in Verilog
10:57
Amit Dhanawade
Рет қаралды 3,3 М.
the TRUTH about C++ (is it worth your time?)
3:17
Low Level
Рет қаралды 724 М.
Designing a First In First Out (FIFO) in Verilog
24:41
Shepherd Tutorials
Рет қаралды 28 М.
Inertial and Transport Delays
12:10
WIT Solapur - Professional Learning Community
Рет қаралды 4,5 М.
Verilog® `timescale directive - Basic Example
1:58
Studyvite
Рет қаралды 30 М.
Epic Reflex Game vs MrBeast Crew 🙈😱
00:32
Celine Dept
Рет қаралды 33 МЛН