Verilog practice questions for written test and interviews | #1 | VLSI POINT

  Рет қаралды 36,204

VLSI Point

VLSI Point

Күн бұрын

This is the first video of verilog practice questions playlist. Here you will get verilog practice problems online. In this video you'll get verilog questions and answers. This verilog coding is specially for beginners, which is very helpful for written test. Here in this quick tutorial which is a verilog programming tutorial for beginners, students will get verilog hdl interview questions and examples. In this video you'll get 10 verilog practice questions with answers.These questions will help you revise all the verilog programming concepts for written test and interviews.
------
Join our Telegram group for more discussion and get some outstanding materials for exams and interviews along with job/internship opening update : t.me/+1Inrc6Mg...
------
Don't miss the verilog tutorial videos for beginners:
Introduction to HDL | What is HDL? | #1 | Verilog in English
• Introduction to HDL | ...
Level of abstraction in Verilog | #2 | Verilog in English
• Level of abstraction i...
Modules and Instantiation in Verilog | #3 | Verilog in English
• Modules and Instantiat...
Simulation, Synthesis and Design methodology in Verilog | #4 | Verilog in English
• Simulation, Synthesis ...
Data types in Verilog | #5 | Introduction | Verilog in English
• Data types in Verilog ...
Net Data type in Verilog | #6 | Verilog in English
• Net Data type in Veril...
Reg Datatype in Verilog | # 7 | Verilog in English
• Reg Datatype in Verilo...
Vectors, Arrays, Memories, Parameters, Strings in Verilog | #8 | verilog in English
• Vectors, Arrays, Memor...
Operators in Verilog | #9 | Verilog in English
• Operators In Verilog |...
Practice-Set | #10 | Verilog in English
• Practice-Set | #10 | V...
Gate Level Modeling | #11 | Verilog in English
• Gate Level Modeling |...
Dataflow Modeling | #12 | Verilog in English
• Dataflow Modeling | #1...
Behavioral Modeling | #13 | Verilog in English
• Behavioral Modeling | ...
Compiler directive & System tasks in Verilog | #14 | Verilog in English
• Compiler directive & S...
Task and Functions in Verilog | #15 | Verilog in English
• Task and Functions in ...
Test Bench writing in Verilog | #16 | Verilog in English
• Test Bench writing in ...
Reference- verilog HDL : A Guide to Digital Design and Synthesis
By Samir palnitkar

Пікірлер: 64
@monimilky
@monimilky 4 ай бұрын
your videos are very useful madam! I am seraching for dv role i have 2 yrs experience it is very useful for me
@vlsipoint
@vlsipoint 4 ай бұрын
Thanks for watching! Stay tuned ✌
@bishalghoshb3412
@bishalghoshb3412 2 жыл бұрын
Thanks You. I think u r the first who r doing verilog code into the next level in you tube.
@vlsipoint
@vlsipoint 2 жыл бұрын
Thanks you so much Akash, keep watching ✌✌
@MukeshKumar-vh6zp
@MukeshKumar-vh6zp Жыл бұрын
Thanks 🙏 mam For providing such a important questions with discuss
@mayankkaushik1091
@mayankkaushik1091 Жыл бұрын
Best video which totally based upon the questions on Verilog Impressive 🎉
@vlsipoint
@vlsipoint Жыл бұрын
Thanks a lot!
@preetamdewangansirclasses2502
@preetamdewangansirclasses2502 2 жыл бұрын
kindly keep making these kind of questions....excellent videos and helpful also...thanky mam
@ZakirHussain12345
@ZakirHussain12345 Жыл бұрын
@2:11 format specifier must be ℅s instead of ℅name
@AkbarRajaei
@AkbarRajaei 2 жыл бұрын
I use VHDL, and beginner with Verilog. Very nice tutorial 👍🏻
@sindhujavelayutham5663
@sindhujavelayutham5663 2 жыл бұрын
Very great mam.thank you so much.keep uploading more videos like this in verilog interview questions
@vlsipoint
@vlsipoint 2 жыл бұрын
Thanks for watching Sindhuja, stay connected ✌
@youtubegoogle4163
@youtubegoogle4163 Жыл бұрын
mam, answer of Q7 should be 4..... i think because if fractional part is >= 0.5, it integer converts to integer+1
@hitechconclave8659
@hitechconclave8659 Жыл бұрын
Yes i think 4 is correct
@vasantabingi6958
@vasantabingi6958 8 ай бұрын
It's really helpful madam, Thank you 😍
@vinayanpa126
@vinayanpa126 10 ай бұрын
For 5th question, all the statements will be executed in a single clock cycle irrespective of whether they are blocking or non blocking. The difference between blocking and non-blocking assignments comes into play when you have multiple assignments within the same always block, but across different lines of code. In the case of blocking assignments, they are executed sequentially in the order they appear in the code. In contrast, non-blocking assignments are scheduled to be executed concurrently after all the other assignments have been evaluated.
@ankushmondal8351
@ankushmondal8351 2 жыл бұрын
Thank you Madam🙂. Please make more videos on ECE core interview.
@vlsipoint
@vlsipoint 2 жыл бұрын
Interview preparation videos are coming soon Ankush, stay connected ✌
@tharunkumar6823
@tharunkumar6823 2 жыл бұрын
Your efforts are really appreciated.....thanks mam.
@vlsipoint
@vlsipoint 2 жыл бұрын
Thanks for watching Tharun!
@jyotirmoychatterjee7421
@jyotirmoychatterjee7421 2 жыл бұрын
In the last question what will it be rounded up to 11ns and not truncated to 10ns ?
@vincit1587
@vincit1587 Жыл бұрын
Mam Ur having good knowledge in vlsi right , Can i know which ru currently working in ?
@pawantrivedi5531
@pawantrivedi5531 2 жыл бұрын
Where to get such types of problem for practice
@ASPIRANT-hw1qe
@ASPIRANT-hw1qe 5 ай бұрын
the problem is u dont clr peoples doubts in comment section,,,,u just likes the comment where people praise u
@spandandas9432
@spandandas9432 2 жыл бұрын
Very Nice Video mam, for the last question why 10.5 ns rounds off to 11ns and not 10ns ?
@vlsipoint
@vlsipoint 4 ай бұрын
For >=0.5 increment by 1
@rahulsriram7179
@rahulsriram7179 2 жыл бұрын
GOOD ..
@vlsipoint
@vlsipoint Жыл бұрын
Thanks
@nikitagalar5638
@nikitagalar5638 2 жыл бұрын
Thank you ma'am 😊
@vlsipoint
@vlsipoint 2 жыл бұрын
My pleasure Nikita, keep watching.
@RamakrishnaReddy-nv1dj
@RamakrishnaReddy-nv1dj 2 жыл бұрын
I am unable to join vlsi point telegram group
@verif_engg_vlsi6754
@verif_engg_vlsi6754 2 жыл бұрын
Q3. P value is unknown because default value of reg is X, and reg is a 4 state variable (0,1,x,z) More over $display execute only once unless it is inside loop. Plz correct me If I'm going wrong
@deepaksaraibhagi9612
@deepaksaraibhagi9612 2 жыл бұрын
Thank you mam...really loved it....
@kbnanusha4641
@kbnanusha4641 2 жыл бұрын
Thank you Mam...
@vlsipoint
@vlsipoint 2 жыл бұрын
My pleasure, thanks for watching!
@ushodayaa1034
@ushodayaa1034 Жыл бұрын
correct ans.10
@nyang8888
@nyang8888 2 жыл бұрын
$display("NAME = %name", ...) the compiler is reporting an error. Try %s
@user-pt6gn1zr2n
@user-pt6gn1zr2n 6 ай бұрын
to print the name use %0s not %name first ques
@goshkianjali4934
@goshkianjali4934 2 жыл бұрын
Make more vedios mam ....
@chagaletiganganjaneyachaga8976
@chagaletiganganjaneyachaga8976 Жыл бұрын
In 10th question..why we maltiplied 11× 2(why take 2 ).?
@harryshen4806
@harryshen4806 Жыл бұрын
a full clock cycle contains 0 and 1, 11ns of 0 and 11ns of 1(as you can see Z value is flipping), combined to form a 22ns clock cycle. thus the period is 22ns.
@ushodayaa1034
@ushodayaa1034 Жыл бұрын
correct ans.7 correct ans.9
@rameshbishnoi4510
@rameshbishnoi4510 2 жыл бұрын
Ma'am verilog language complete krwa do jldi
@vlsipoint
@vlsipoint 2 жыл бұрын
Sure Ramesh
@VishalOP_99
@VishalOP_99 2 жыл бұрын
❤ 💙
@Bishnu_Papon
@Bishnu_Papon 2 жыл бұрын
For DFT ?
@PremKumar-jq3wg
@PremKumar-jq3wg 2 жыл бұрын
For 10th questions how you multiple 10.5*2, where this 2 came from, would you mind explain
@zebra00024
@zebra00024 Жыл бұрын
​@@dhavalpatel_98imo it's not 10.5*2, it's either 11*2 or 10 by 2, based on if simulator will round or truncate time for that delay. What if you had precision 0.1ns? Instead of 1ns, I wouldn't trust interviewer who is asking that question without running that code. My honest thinking answer should be , check LRM or run that code in a sandbox
@neeleshranjan7827
@neeleshranjan7827 Жыл бұрын
please someone explain me the solution of qn. 5.
@IITMIAN_ABHILASH
@IITMIAN_ABHILASH Жыл бұрын
Mam why 11 is multiplying by 2.
@zebra00024
@zebra00024 Жыл бұрын
Because 11 is only half period, period measures length of a full cycle 1-0-1
@divyamsatle6673
@divyamsatle6673 5 ай бұрын
50Mhz
@gvenkatesh6671
@gvenkatesh6671 2 жыл бұрын
Hi Madam, do some more question in Verilog
@vlsipoint
@vlsipoint 2 жыл бұрын
Sure Venkatesh, it will be uploaded soon.
@yashsingh289
@yashsingh289 2 жыл бұрын
ma'am don't use vibration in video ..it is very irritating
@pushpendranayak3235
@pushpendranayak3235 Жыл бұрын
Nice video
@vlsipoint
@vlsipoint Жыл бұрын
Thanks
@anandkumar-bd2ru
@anandkumar-bd2ru 2 жыл бұрын
In question no.8 break is not used. All statements will execute
@AkbarRajaei
@AkbarRajaei 2 жыл бұрын
The Telegram link has expired. Please share the new one.
@apoorvasrivastava7045
@apoorvasrivastava7045 2 жыл бұрын
q6 one more error is that wire is not used for output, how can we assign without wire.
@sharmaji5298
@sharmaji5298 Жыл бұрын
for output "out", by default Verilog will make it wire unless declared otherwise.
@jetoptimusprime
@jetoptimusprime 2 жыл бұрын
These questions are too simple. Real verilog interviews will be based on company specific modules and are upwards of 75+ lines of code (for anyone that cares)
@nilrathod9840
@nilrathod9840 2 жыл бұрын
Have you any channel for intermediate questions?
@pushpendranayak3235
@pushpendranayak3235 Жыл бұрын
Nice ...any new videos for interview point of view
Verilog interview questions for freshers | #2 | VLSI POINT
9:03
Qualcomm Job Interview | Designer Verification Engineer Q&A
7:57
Placement Interview
Рет қаралды 27 М.
Happy birthday to you by Tsuriki Show
00:12
Tsuriki Show
Рет қаралды 12 МЛН
Мы сделали гигантские сухарики!  #большаяеда
00:44
나랑 아빠가 아이스크림 먹을 때
00:15
진영민yeongmin
Рет қаралды 3,1 МЛН
Verilog Interview Questions with Solution | #4 | VLSI POINT
20:46
Learn VERILOG for VLSI Placements for FREE | whyRD
16:38
Happy birthday to you by Tsuriki Show
00:12
Tsuriki Show
Рет қаралды 12 МЛН