Intel Performance Leadership in 2025: Beyond Nanometers

  Рет қаралды 48,367

TechTechPotato

TechTechPotato

Күн бұрын

Пікірлер: 489
@Speak_Out_and_Remove_All_Doubt
@Speak_Out_and_Remove_All_Doubt 3 жыл бұрын
"Intel says 20A is coming out in 2024", I will buy you a 20A processor of your choice if they are on sale in 2024! (I would probably even extend that to 2025 as Intel has been so poor at delivering on roadmaps over the last few years!)
@TechTechPotato
@TechTechPotato 3 жыл бұрын
Yeah process node production vs product on shelves; this announcement was very much the former
@pinkdude9017
@pinkdude9017 3 жыл бұрын
@@TechTechPotato Hey Ian, is Intel 20A a rebranded (licensed) IBM 2nm/5nm? The timing, transistor structure images and recently announced 'collaboration' just seem to line up. IBM also said that 2nm will be ready for production by around 2024
@Rocman76
@Rocman76 3 жыл бұрын
If 20A is in mass production by 2028 i'll be impressed
@VV-nw4cz
@VV-nw4cz 3 жыл бұрын
Their 2024 CPUs will be 20A. That is 20A at 12V or 240W idle.
@stefanjud6345
@stefanjud6345 3 жыл бұрын
Not that I believe they will actually deliver - I will call you out on your offer in 2024, hopefully intel is able to pull the trick this time. Its always bad to have the market reduced to less competitors.
@alfredzanini
@alfredzanini 3 жыл бұрын
It's a shame they're not going for density, though understandable given that as a measurement of performance neither node size or density really are a perfect judge... Would have been nice to have a standardized size to compare everything by
@AkashPatel1995
@AkashPatel1995 3 жыл бұрын
For that, TSMC and Samsung would have to agree. Intel alone going for density will confuse others and especially IFS customers who are used to these nm names from other foundaries. Intel is just leveling the playing field here in my opinion
@sidharthgkumar4029
@sidharthgkumar4029 3 жыл бұрын
@@AkashPatel1995 yes right
@Kwazzaaap
@Kwazzaaap 3 жыл бұрын
yeah but at least density is a measure of the tech used to produced it
@josuad6890
@josuad6890 3 жыл бұрын
even density is a bit tricky one. what do you measure to measure density? are you just measuring how much SRAM you could cram on a mm2 wafer? or is it logic gates? or what? there's no standard on what to measure either, so it's not "standardized" by any means.
@TechTechPotato
@TechTechPotato 3 жыл бұрын
The issue there is everyone measures density different (as in, not all transistors are the same and not all devices are equivalent, e.g. NAND2 vs flipflop). Also, different libraries on the same node have different densities. It would get more inconsistent quicker, and more chances to play games, e.g. high performance libraries used in leading edge are typically anywhere from 50-80% the peak quoted density of the high density libraries for low power operation.
@procedupixel213
@procedupixel213 3 жыл бұрын
20 Angstrom? They should have called it 10^25 Planck. Much more room to shrink numbers in the future.
@benjaminoechsli1941
@benjaminoechsli1941 3 жыл бұрын
50 years from now, Intel rolls out its new 100P architecture. At least, we think they do, because it's so darn small you need an electron microscope to have a chance at looking at it.
@procedupixel213
@procedupixel213 3 жыл бұрын
@@benjaminoechsli1941 A neutrino microscope. :-)
@lolowfi
@lolowfi 3 жыл бұрын
The size of a silicon atom is ~2 angstrom. I hope I'll live long enough to hear Intel marketing explain to us how their 2A transistors work.
@pneumonoultramicroscopicsi4065
@pneumonoultramicroscopicsi4065 3 жыл бұрын
@@lolowfi lol they won't explain because it's established that it's fake
@macktheinterloper
@macktheinterloper 3 жыл бұрын
Pet the kitty, God damn it. Also, thank you, highly informative, always appreciate your insight.
@maotseovich1347
@maotseovich1347 3 жыл бұрын
"Intel are going to skip the number 5 for some reason." RIP the number 6.
@VV-nw4cz
@VV-nw4cz 3 жыл бұрын
It has some bad magical meaning. Like lots of elevators don't have 13th floor.
@Ya39oub_G
@Ya39oub_G 3 жыл бұрын
Actually the scale goes down by 70% so it goes like 14,10,7,5...
@MaxIronsThird
@MaxIronsThird 3 жыл бұрын
@@Ya39oub_G Samsung has 8 and 6 though
@Ya39oub_G
@Ya39oub_G 3 жыл бұрын
@@MaxIronsThird It's to double the number of transistors on the same area. Here is a video about it : kzbin.info/www/bejne/iIC2YWNudttne5Y
@thejohnnerparty
@thejohnnerparty 3 жыл бұрын
This is such amazing stuff. I started in the industry in 1967. What an incredible evolution.
@BRUXXUS
@BRUXXUS 3 жыл бұрын
I can LITERALLY see it! Thanks, Steve.
@ThatKoukiZ31
@ThatKoukiZ31 3 жыл бұрын
Back to you @gn Steve
@Speak_Out_and_Remove_All_Doubt
@Speak_Out_and_Remove_All_Doubt 3 жыл бұрын
How do you go from "Full use of EUV" and then the next step forward is "increased use of EUV"???
@TechTechPotato
@TechTechPotato 3 жыл бұрын
Full use is usually 'in every segment', meaning front end of line (FEOL), middle (MEOL), and back (BEOL). Might only be one layer in each segment
@michaelkeudel8770
@michaelkeudel8770 3 жыл бұрын
@@TechTechPotato I think you missed his point completely, Intel said Full Use of EUV, and then followed that with increased use of EUV. If your already at full use, 100%, how are your going to INCREASE past 100% use?
@51m0n397
@51m0n397 3 жыл бұрын
@@michaelkeudel8770 I think you did not understand Ian answer. A CPU is made of multiple segment, and each segment is made of multiple layers. With full EUV intel intended that they used EUV for at least one layer in each segment. So all the segments use EUV, bit not every layer is EUV. It is a bit misleading because there are still non-EUV layers, that’s why they can increase the use of EUV in the next generation.
@michaelkeudel8770
@michaelkeudel8770 3 жыл бұрын
@@51m0n397 I'm designing and building Intels EUV vacuum control system, have been for almost 3 years now, I know what EUV is.
@blueeyednick
@blueeyednick 3 жыл бұрын
Intel makes anything possible ;)
@henrysalayne
@henrysalayne 3 жыл бұрын
I'm not really happy with "nanometer" in the name of a any node if it's just a marketing term. It's as wrong as substituting MT/s with MHz. On the other hand, Intel 7 feels like something is missing. They should use an N suffix (7N) and proceed with the A suffix later down the road.
@TechTechPotato
@TechTechPotato 3 жыл бұрын
Yeah, I was thinking along those lines too. It really needs a fake unit, almost
@tinfever
@tinfever 3 жыл бұрын
What about "I" for Intel, the Intel 7I process, or even better, the Intel I7 process, followed by Intel I4 and Intel I2! Intel, I'll send you my address to mail the check.
@Testbug000
@Testbug000 3 жыл бұрын
@@TechTechPotato tbf, isn’t the version of nanometer used in node naming right now fake? Lol
@soylentgreenb
@soylentgreenb 3 жыл бұрын
@@Testbug000 Nanometers are real, it is the value before the unit that is fake. If you change the unit or postfix after the value, the value can still be some arbitrary number without being "wrong" because it honestly doesn't mean anything (instead of as of now, dishonestly not meaning anything).
@batemanboi9672
@batemanboi9672 3 жыл бұрын
@@Testbug000 no that is Intel propaganda
@Renvoxan
@Renvoxan 3 жыл бұрын
Or instead all these companies could simply put the density of transistors per unit area...
@WhenDoesTheVideoActuallyStart
@WhenDoesTheVideoActuallyStart 3 жыл бұрын
That's not a single metric tho. It depends on the kind of transistor, and each node has a set of libraries that offer varying degrees of PPA.
@Jsteeeez
@Jsteeeez 3 жыл бұрын
But that doesnt sound science fiction enough! Using such small nanometers I think just captivates a lot of people due to how hard it is for our brains to visually comprehend the extremely tiny size of nanometers. But they already jumped the shark. They cant advertise a true gate width of say 14-40nm when they been selling people on brand new 5nm. Its funny how they market it. for things we can visualize and understand, bigger number = better. Like a larger screen. But for such tiny sizes the smaller it is the more we struggle to visualize it so marketers have taken advantage of it to make it the small nanometer= super advanced over ur head science stuff.
@Renvoxan
@Renvoxan 3 жыл бұрын
@@WhenDoesTheVideoActuallyStart i understand, but 'nodes' is a single meaningless metric though. Transistor density means something physical though.
@Steamrick
@Steamrick 3 жыл бұрын
Even density isn't the only thing that matters, or there wouldn't be low power, high power (etc) variants of nodes and the types of finfets used wouldn't be such big news. Makes it extremely difficult to agree on any single metric because silicon of equal density can perform very differently.
@WhenDoesTheVideoActuallyStart
@WhenDoesTheVideoActuallyStart 3 жыл бұрын
@@Steamrick Yeah, in the end there's no way to simplify process nodes into a single number that can reflect their characteristics. Samsung is very competitive on density, but the efficiency of their nodes tends to lag behind TSMC (And then you get things like Ampere).
@60DollarCodger
@60DollarCodger 3 жыл бұрын
Agreed. I like this instead of the disclaimers that were always needed regarding the 'nm' values for each manufacturer. Thanks for the info, Ian 👍
@Roland00
@Roland00 3 жыл бұрын
Can Intel execute? Well how many EUV machines will Intel get? That is the first step, but is not enough to guarantee success and execution so yeah, 🤞
@1idd0kun
@1idd0kun 3 жыл бұрын
That's the important question. This isn't the first time Intel promises awesome products for the future, but they weren't able to deliver on their promises. What guarantee do we have they'll be able to deliver now?
@Gepstra
@Gepstra 3 жыл бұрын
I was surprised about the comment that INTC will be one of the first customers for the High NA machines from ASML. Although I haven't read their recent earnings report and/or if they mentioned Intel.
@angeleduardorojasgonzalez858
@angeleduardorojasgonzalez858 3 жыл бұрын
@@1idd0kun there's a new CEO. Let's wait how he handles alder and raptor lake
@stefangeorgeclaudiu
@stefangeorgeclaudiu 3 жыл бұрын
@@angeleduardorojasgonzalez858 Alder Lake and Raptor Lake were pretty much done before Gelsinger even signed on the dotted line. I think everyone knows there's nothing he can do about the hardware that's launching before 2024.
@angeleduardorojasgonzalez858
@angeleduardorojasgonzalez858 3 жыл бұрын
@@stefangeorgeclaudiu you should be familiarized with what Bob Swan did in 11th gen with the sudden change to 14++++nm. He would've delayed the launch but here we are. Everything before 2024 was already done yes that's true but if there is something else to upgrade I'm sure he won't hesitate to state it.
@TheColinputer
@TheColinputer 3 жыл бұрын
I say intel hit the character limit and couldn't add any more + so they had to rename it
@renechawy
@renechawy 3 жыл бұрын
Awesome content, following your channel nearly a year. Highly recommend
@Irthex
@Irthex 3 жыл бұрын
This is probably the second best solution for Intel. The best would be to get the general consumer to understand that these numbers don't mean anything between different companies. And as a consumer you're not buying a manufacturing node, you're buying a chip. The chip has properties that are influenced by the node but not decided by it. It's good that Intel is moving away from nanometer, it's bad that they're 'forced' to move into Ångström. I kinda like the sound of Intel 7 class manufacturing technology
@sanskarbhusal1924
@sanskarbhusal1924 3 жыл бұрын
I'm shame of myself being an engineering student. I literraly don't understand most of the things you talk about. Still I watch you talk to motivate myself.
@gamingoptimized
@gamingoptimized 3 жыл бұрын
The 20A is interesting
@stefangeorgeclaudiu
@stefangeorgeclaudiu 3 жыл бұрын
Should probably wait for at least 2 pluses on that. That's when Intel recent nodes are at their best. So 20A++. Which gets renamed to 16A.
@maynardburger
@maynardburger 3 жыл бұрын
@@stefangeorgeclaudiu Iterations will obviously always be better. :/ Does not mean initial releases will be bad. 14nm wasn't brilliant on first launch, but they fixed it up really quickly. The main thing that will matter is that it's better than what they had before. If it is, and yields aren't terrible, then it's worth using.
@Commander_ZiN
@Commander_ZiN 3 жыл бұрын
The problem is everyone knew the Intel node was superior for example 22nm vs 22nm. However when it's 10nm vs 7nm, Intel's now has no bias, even if they name 10nm 7 it's still going to be no better than the competition if the competition is on 7nm. I really don't think this helps anyone, except for those that shouldn't be basing their decisions on processor nodes to begin with. It would be nice to have an industry wide measuring stick but I don't think it will ever be that simple or really matter.
@Aieieo
@Aieieo 3 жыл бұрын
8:24 - beautiful pun my friend
@SaturnusDK
@SaturnusDK 3 жыл бұрын
The really interesting prospect of the naming change is that Intel now realize that they are not the current market leader, so they can no longer dictate how the industry names their processes. And I'll also be interested in looking back at this in 4 years and see how far Intel has actually come of this roadmap. Given their track record to execute in recent years, and with the industry generally moving at a faster pace than Intel, I'll be extremely surprised if they manage it.
@pneumonoultramicroscopicsi4065
@pneumonoultramicroscopicsi4065 3 жыл бұрын
Intel hurt themselves a lot marketing wise by not following TSMC and samsung. Many people still think that these numbers mean something.
@basharabdelkarim9548
@basharabdelkarim9548 3 жыл бұрын
x86 needs an extensive review, it has been slowed down with all the existing ancient instructions, emulations serves the needs for ancient applications. Nice from Intel to hear from consumers and reviewers and the press, perhaps a new CEO wasn't a bad Idea after All.
@scarletspidernz
@scarletspidernz 3 жыл бұрын
It's needs to be branched off aka split into two paths, with legacy and without (legacy needed for older devices) x86 = includes legacy instructions (equivalent name x86.1) x86.2 = Modern instructions x64 = includes legacy instructions (equivalent name x64.1) x64.2 = Modern instructions
@Dangerman-zg3ui
@Dangerman-zg3ui 3 жыл бұрын
TBF Bob Swan probably helped Pat & Intel to get to a more competitive position after Brian Krzanich mucked everything up.
@Kleptophobia
@Kleptophobia 3 жыл бұрын
@@scarletspidernz There is little point. In Ian's interview with Jim Keller, Keller said they microcode the legacy instructions and did not think it was a significant effort on their part to keep (slow) backward compatibility.
@SimonBuchanNz
@SimonBuchanNz 3 жыл бұрын
Yeah, as others said, the legacy crap is all "emulated" in microcode already. Maybe they could do something clever like add a fresh ISA with call-to-other-ISA ops in both (I think there's been some 32/64 bit switches in other ISAs that did that sort of thing) to improve the encoding, but I'm sure if there were any big wins to be had there they would already have been looking at that. Straight up new ISA is a tough sell. I think with virtualization where it is today it's a lot more feasible than it was back in IA64, but without the forcing function of being Apple and just doing everything yourself it's an uphill road to get uptake in an existing market.
@evalangley3985
@evalangley3985 3 жыл бұрын
By the way, TSMC will just let Intel bypass them... just because... >XD
@madmotorcyclist
@madmotorcyclist 3 жыл бұрын
Not really, already it looks like TSMC is setting up a production facility at 2nm in 2024.
@nisetsu
@nisetsu 3 жыл бұрын
Can you quantify how much disadvantage Intel has from being x86 vs ARM?
@TechTechPotato
@TechTechPotato 3 жыл бұрын
Check my interview with Keller. He answers that
@es-yy2cm
@es-yy2cm 3 жыл бұрын
4%
@agenericaccount3935
@agenericaccount3935 3 жыл бұрын
Maybe they can work on the product naming next.
@Jsteeeez
@Jsteeeez 3 жыл бұрын
Soon every product will sound like a new high refresh monitor.
@agenericaccount3935
@agenericaccount3935 3 жыл бұрын
@@Jsteeeez NoooOoOooOoOooOoOoOooOoOoOo. please no 🥺
@Jsteeeez
@Jsteeeez 3 жыл бұрын
@@agenericaccount3935 I mean they are afraid to stop using current branding. This new alder lake if performance number is true would be the perfect time to end the core branding and start back over at 1 or something.
@RealTechnoPanda
@RealTechnoPanda 3 жыл бұрын
Thank God! The past naming convention has been a huge marketing fiasco for Intel.
@Commander_ZiN
@Commander_ZiN 3 жыл бұрын
no it wasn't, the fiasco was their lack of progress. The real fiasco will be a name going forward that is nothing but marketing BS, the waters are going to get muddy.
@bigpod
@bigpod 3 жыл бұрын
@@Commander_ZiN they were progressing all right just werent lowering the number for every BS change they did. how come they are equal with their 10nm in transistors per unit of area as TSMC's 7nm
@Commander_ZiN
@Commander_ZiN 3 жыл бұрын
@@bigpod because there transitors are shaped differently and therefore measured differently, so for it's size Intel was superior.
@bigpod
@bigpod 3 жыл бұрын
@@Commander_ZiN yes and no reality is at the end of the day only true messure we should care about how many transistors they can put in there
@stuartlunsford7556
@stuartlunsford7556 3 жыл бұрын
I think calling it 4nm makes sense, since it is slightly more dense than most early 5nm nodes. This does all seem better...now, can they fix thier mobile and server chip nameing schemes please?
@warren_r
@warren_r 3 жыл бұрын
No, it doesn't make sense. The fundamental problem with calling these future process nodes by a "nanometer" measurement is that the transistors aren't all the same size! And when we start getting into Foveros packaging, tiles and all that, there will be /multiple/ process nodes on a /single/ chip! It's the exact same thing we went through ~20 years ago when CPUs started including turbo boost and power efficiency modes. Before that, Intel, AMD, IBM, etc. were all including the megahertz in the product name, e.g. "Pentium 4 1.80 GHz", "Power PC G4 800" and so on. Everyone dropped these names in favour of product codes (e.g. "Intel Core 2 Duo E6600") because a single clock speed was no longer an accurate measure of performance.
@stuartlunsford7556
@stuartlunsford7556 3 жыл бұрын
@@warren_r It makes sense as just a label for comparison in that naming scheme. Of course using transistor type and density would make sense and be actually accurate.
@TauxWau
@TauxWau 2 жыл бұрын
I wish they had simply renamed it based on the density. Call it Intel 200 for 200 million transitors per mm^2
@stuartlunsford7556
@stuartlunsford7556 2 жыл бұрын
@@TauxWau I feel like they would need to estimate the density, since dram can be denser, so like 2:1 dram to logic density averaged for the "rating"
@Trick-Framed
@Trick-Framed 3 жыл бұрын
Read the article this morning. Wanted to talk to you about this and of course you fully beat me to it with a video. Ty!
@Sam_888
@Sam_888 3 жыл бұрын
Thanks for covering the packaging stuff too
@m_sedziwoj
@m_sedziwoj 3 жыл бұрын
8:56 EUV do not use lenses, it is using mirrors only.
@TechTechPotato
@TechTechPotato 3 жыл бұрын
Yeah that's what I meant. It's all smoke and magic :)
@Faraz-cse
@Faraz-cse 3 жыл бұрын
So this 7 is 10 nm node size & 4 and 3 is 7 nm size of nodes. Intel : When you can't shrink transistors size like competitors, change the name 🙃
@bigpod
@bigpod 3 жыл бұрын
well intels 10nm is the size of what TSMC 7nm so i would say they should have renamed it long ago since it causes confusion becuase for some reason a lot of people put that you should buy amd because it has 7nm and intel can barely do 10nm without knowing the full story
@FastFSharp
@FastFSharp 3 жыл бұрын
Awesome video as usual! I always anxiously await your analysis when big industry news drops.
@wbwarren57
@wbwarren57 3 жыл бұрын
Key question: will this roadmap goose up Intel stock prices in the short term so that Intel senior executives can make themselves rich immediately off of more promises they never have to deliver on? I think we all know that Intel will probably not deliver on most of this roadmap in the longer-term (i.e. in reality) so the most important thing about it is will it fool Intel investors in buying more stock in the short term?
@TechTechPotato
@TechTechPotato 3 жыл бұрын
Intel's hired a lot of new people. Pat seems to be in for the long term, not the short term stock options.
@wbwarren57
@wbwarren57 3 жыл бұрын
@@TechTechPotato Let us hope so! It breaks my heart to see a great company like Intel lead to disaster. However, Intel’s leadership over the past four years has been abysmal and has provided little hope that it will get better soon. Also as the “mythical man month“ book reminds us, adding more people to a project in trouble often causes more problems than it solves.
@knofi7052
@knofi7052 3 жыл бұрын
Until now, nothing more than just a lot of marketing from Intel.
@Adrninistrator
@Adrninistrator Жыл бұрын
2022 here you got what you want
@nivea878
@nivea878 Жыл бұрын
AMD much marketing, and burning cpus lol
@capability-snob
@capability-snob 3 жыл бұрын
Skipping vista, xp and 2000 and going straight from 7 to 4. Good move.
@Nightmare0021
@Nightmare0021 3 жыл бұрын
If Intel indeed keeps their word with the naming scheme, I wonder how the other hardware reviewers would interpret it. Thanks for the video, Ian!
@josuad6890
@josuad6890 3 жыл бұрын
Finally. FINALLY. I've been saying this shit for YEARS. If Intel's 10nm has the same or better density as TSMC's 7N, WHY DON'T THEY JUST NAMED IT Intel 7nm node to better compete with AMD? I've been asking about this for years and they've finally done it, those crazy sons of beaches. Well, mostly I'm happy because now AMD can't just pick trailing edge nodes anymore if they want to win the nm war mindshare. Hopefully this will push AMD into picking TSMC's more advanced nodes rather than settling with trailing edge nodes. Usually closer nodes like 5N and 5NP share the same IP so hopefully there's still time to adjust to that.
@anivicuno9473
@anivicuno9473 3 жыл бұрын
Well we know that Apple almost always pays out the nose for the nigh end stuff (like 5nm). What else is AMD to do, they're not going to be able to outbid Apple. If only IP wasn't just a stick to beat your competition to death with, we could have more competitors in the manufacturing space
@pedro4205
@pedro4205 3 жыл бұрын
I mean, they do delayed desktop 10nm for 5 years and just now they are producing more 10nm than 14nm. What could go wrong?
@reinerfranke5436
@reinerfranke5436 3 жыл бұрын
Make wafers does not mean make chips in spec.
@maynardburger
@maynardburger 3 жыл бұрын
10nm is 10nm. It is not necessarily indicative of what future processes will be. TSMC tripped up hard on 20nm and then got back on-track, for instance.
@matthewhafner962
@matthewhafner962 3 жыл бұрын
3D chip stacking sounds great, but how are they going to cool eventual multichip stacking?
@tohjingwei9703
@tohjingwei9703 3 жыл бұрын
I believe the idea is to put the high powered cores at the top while less powerful cores or memory are at the bottom. This allow the hotter powerful cores to dissipate heat better
@SimonBuchanNz
@SimonBuchanNz 3 жыл бұрын
Also, presumably, the in silicon water cooling that has been being trialed
@Speak_Out_and_Remove_All_Doubt
@Speak_Out_and_Remove_All_Doubt 3 жыл бұрын
So Intel are 4 packaging generations away from what AMD (with TSMC) are going to bring out later this year???
@smifffies
@smifffies 3 жыл бұрын
Exactly
@nimeq
@nimeq 3 жыл бұрын
I would've really preferred with some distinctive nomenclature since now you'll have i7 i5 and i3 processors made on Intel 7, Intel 4, Intel 3 ect nodes. Could've made the names somewhat more distinct from processor product lines.
@Jsteeeez
@Jsteeeez 3 жыл бұрын
They could of really ended the core branding if alder lake is truly going to match the leaked performance. Kill the core branding and get a new catchy name to market the dual architecture design and start back at 1 number wise
@nimeq
@nimeq 3 жыл бұрын
@@Jsteeeez The intel hybrid cores is something I feel like I'd like to get my mittens on, simply due to the unsymmetric processor cores, but they're probably going to be too expensive for a while for a toy.
@Jsteeeez
@Jsteeeez 3 жыл бұрын
@@nimeq I feel like you will benefit from waiting for the second generation of the hybrid design anyway since even if they have flawless execution on alder lake ( nothings ever perfect) theres going to be a lot of software that will take months and months to get updated to properly utilize the cores. Then there will be programs that use the cores, but dont use the right ones, or get expected performance but dont use the efficiency cores properly. Etc etc. I see second gen of this hybrid design being the one you wanna own if you want to really benefit from the promises heterogeneous core architectures on 1 chip can bring.
@nimeq
@nimeq 3 жыл бұрын
​@@Jsteeeez I guess I should've stated that my interest in unsymmetric multiprocessing is as a software engineer. As a user it's a bit meh, since my current system is a amd ryzen 3900x desktop and a laptop with a amd ryzen 5800u. (and 3 corporate laptops of random specs)
@maynardburger
@maynardburger 3 жыл бұрын
Anybody confused over *that* is not the sort of person who is going to be paying attention to process nodes, and probably wouldn't even really understand what they are to begin with.
@shmookins
@shmookins 3 жыл бұрын
Exciting stuff. Nothing like streamlining. I also plan to upgrade my PC in 2025 so I am excited what the options will be then.
@e2rqey
@e2rqey 3 жыл бұрын
Would you say that we have begun to see a shift in semiconductor development as a whole with companies like TSMC, Intel and AMD? It seems to me like packaging techniques are becoming a critical part of future performance improvements. Instead of the gains coming primarily from node shrinks and microarchitecture changes. Of course we will still see performance improvements due to those factors as well, but packaging seems like it will be playing a much bigger role.
@andrewcross5918
@andrewcross5918 3 жыл бұрын
14nm was late, 10nm was late, Intel 4 (7nm) is late so I will believe they can execute when they actually deliver.
@Bonswally
@Bonswally 3 жыл бұрын
As a consumer who cares about the node? Price. Performance. Power consumption. That's all that matters.
@TechTechPotato
@TechTechPotato 3 жыл бұрын
That's a shitty take. There's a LOT more to semiconductors than simply end-point consumers.
@Bonswally
@Bonswally 3 жыл бұрын
@@TechTechPotato Agreed, but I said as a consumer.
@jonalice1
@jonalice1 3 жыл бұрын
I agree with @Bonswally. I almost never look at the node. As long as the motherboard maker can fit one socket on the board, then Price, Performance, TDP, PCIe version/lanes, memory speed/#channels matter. I don't care if Intel accomplishes that with a "potato inside". Doesn't the "node" just allow them to accomplish the specifications/ measurements that matter to the user? Come on @TechTechPotato, play nice.
@alihouadef5539
@alihouadef5539 3 жыл бұрын
Excellent summary.
@martinbreitbarth8674
@martinbreitbarth8674 3 жыл бұрын
I agree that it might be a good idea to drop the 'nm' from the naming in their nodes because they do not relate to structure width anymore. But why re-introducing the unit back in the angstrom era? Questions...
@JoelSapp
@JoelSapp 2 жыл бұрын
Wouldn't have been better if they dropped the psedo feature size nomenclature and came up with an areal density vernacular instead ? Like 350 class, where the process has max transistor density of 350 million transistors/MM^2 ? That would be completely changing the game while still adhering to some industry convention
@zimtak6418
@zimtak6418 3 жыл бұрын
Personally I find it really sad that Intel had to stoop to TSMC's and Samsung's level. Intel's numbers were at least honest and proper for what the transistor density was.
@Commander_ZiN
@Commander_ZiN 3 жыл бұрын
Yeah I too am very sad. However so many people were confused but the current situation, probably younger people. I don't think this was the way, all they needed to do was make some progress.
@doculab3d
@doculab3d 3 жыл бұрын
Intel should let Dr. Kelleher do all the presentations. This roadmap gives me hope; coming from an exclusively-AMD user.
@conradwiebe7919
@conradwiebe7919 3 жыл бұрын
IAN "TALK IS CHEAP" CUTRESS
@conradwiebe7919
@conradwiebe7919 3 жыл бұрын
Loved hearing you on the official Intel video
@theigpugamer
@theigpugamer 3 жыл бұрын
I just want intel and amd to fight till 2025 where no one gets significantly ahead That be so good for the consumer
@esra_erimez
@esra_erimez Жыл бұрын
It is my humble opinion that Pat Gelsinger is the best person to get Intel back on top of its game again.
@nukedathlonman
@nukedathlonman 3 жыл бұрын
I need a chip made, I want to use 14nm+ Infinity -1... Okay, that was bad. But it really is about time Intel addressed this. And very glad about a road map, so lets hope they can execute the plans. :-)
@Morkvonork
@Morkvonork 3 жыл бұрын
Copper has an atomic radius of 0.128 nm. Can you even go much lower than 1 nm?
@SerBallister
@SerBallister 3 жыл бұрын
Electrons can tunnel over larger distances
@The___Don
@The___Don 3 жыл бұрын
I'm really excited to see Intel 20a in 2030 😂
@plasma06
@plasma06 3 жыл бұрын
The old + made intel look stagnant changing it up makes them look more competent.
@insylogo
@insylogo 3 жыл бұрын
I like how you're wearing that Intel shirt for the video - I have one too :O
@ABaumstumpf
@ABaumstumpf 3 жыл бұрын
Well - lets just hope for all of us that Intel can execute this time. 10nm took 5 years longer than they anticipated, that happening a second time would really start to hurt them.
@samuel5916
@samuel5916 3 жыл бұрын
Start? This 5 year delay is about to eviscerate their balance sheet. A second one could result in bankruptcy. You have to understand these things are offset by a few years, although they’ve been falling behind for years now it’s only recently that it started to reflect in their finances. The only thing that has kept them in the black at this point is the fact that their production capacity was much higher than their competitors. That is swiftly coming to an end however.
@axiom1650
@axiom1650 3 жыл бұрын
@@samuel5916 2020 was their best FY yet iirc, they might have lost business but far from on the brink of bankruptcy.
@samuel5916
@samuel5916 3 жыл бұрын
@@axiom1650 You didn’t read what I said. I said that a second 5-year delay and falling behind other foundries could result in bankruptcy. This one is recoverable but it gave a golden opportunity for their competitors to steal market-share and that is starting to ramp up faster now that other companies are ramping their wafer supplies. By the end of this year AMD will be TSMC’s second largest customer by volume (after Apple) and the largest on 7nm. Nvidia switching to Samsung gave them access to enormous supply with fewer competitors to compete with wafers for and it’s injecting a lot of cash and confidence into Samsung’s foundry business which will directly fund their efforts to be more competitive on leading edge nodes. Intel shot themselves in the dick and squandered their lead but there’s no reason they can’t get back on track in the next three years. In the meantime, their competitors (especially AMD) will have a field day stealing marketshare and Intel’s main weapon will be capacity which they can use to compete with lower prices to keep revenue up. However, that will take a heavy toll on their fat profit margins which will piss off investors. In three years time AMD and Nvidia could be very close to Intel’s annual revenue with higher profit margins. So once everything stabilizes the playing field will be leveled and the competition fierce, which is good for consumers.
@ABaumstumpf
@ABaumstumpf 3 жыл бұрын
@@samuel5916 "This 5 year delay is about to eviscerate their balance sheet." So far they are doing better than ever, just the growth was not as high as they would have liked, but not only are they selling more 14nm than AMD is selling 7nm, they are selling more 10nm as well.... "You have to understand these things are offset by a few years" Yes - i do, your point is? "I said that a second 5-year delay and falling behind other foundries could result in bankruptcy." Yeah, and that assumption is just wrong or TSMC would have died long ago. "and Intel’s main weapon will be capacity" the capacity of 10nm - were processes like Lakefield have shown that they are also more efficient than anything else on the marked right now. "However, that will take a heavy toll on their fat profit margins which will piss off investors." No, as we can see - not gonna happen. Specially now that AMD has also increased their prices to gain higher margins, making it far easier for Intel as well. "In three years time AMD and Nvidia could be very close to Intel’s annual revenue" Ahahahahahaha - No. Even if AMD and Nvidia grew 50% each year now (yeah, as if that gonna happen) they would together not even be half of Intel...... You really should look at the actual numbers before writing.
@samuel5916
@samuel5916 3 жыл бұрын
@@ABaumstumpf I have, I regularly invest in all three companies and have made quite a bit of money doing so. How? Because I understand how this industry works and I follow the patterns.
@quosswimblik4489
@quosswimblik4489 3 жыл бұрын
I want a 2nm tsmc 6 arm 98c cores enhanced photon computing in the GPU for inferencing. This should look cool at 720p only using about 18 watts. That's what I want a mid decade low watt gaming system.
@fauxpastea4169
@fauxpastea4169 3 жыл бұрын
Thank You. :)
@stefangeorgeclaudiu
@stefangeorgeclaudiu 3 жыл бұрын
Thank you for the informative video. One little problem I have with your depiction of Intel's plusses as half-nodes is that what Intel does with pluses is not the same as foundry half-nodes. For original 14nm Intel had a reported 44 MTr/mm2 density while for 14nm++ they had a reported 37 MTr/mm2 density. And Intel also stopped reporting chip density for 10nm. We don't really know the density of 10nm SuperFIN but since frequencies went up and power was increasing, I bet that 10nm+++ Enhanced SuperFIN has also degraded density. The next best thing to compare Intel plusses would be performance variants from TSMC or Samsung, kind of like N7P is for N7.
@amitbarnahum4732
@amitbarnahum4732 3 жыл бұрын
Excellent video, very informative, and interesting. Gives me new hope of Intel.
@jannegrey593
@jannegrey593 3 жыл бұрын
Didn't TSMC have like N7P and N7+ nodes?
@sams_salad_service3653
@sams_salad_service3653 3 жыл бұрын
While I'm here I'd like to say you were mentioned on tech linked!
@gobbel2000
@gobbel2000 3 жыл бұрын
I wish they'd have departed from any physical units altogether and used completely new, incrementing process numbers, as is common in software version numbers. But understandably having some comparison to already-known metrics, especially having their numbers being comparable to the competitors numbers is very important to them.
@NatureQuest2024
@NatureQuest2024 3 жыл бұрын
Does he have his videos dubbed? Sound doesn’t seem to be current with picture! Oh well, I will keep watching. Lol
@MsMonster128
@MsMonster128 3 жыл бұрын
Focusing on the now, does anyone know how does Intels new 7 nm (Prev. Enhanced SF) stacks against TSMC 5 nm? density wise
@TechTechPotato
@TechTechPotato 3 жыл бұрын
There's a table on AnandTech - about 200 vs 175 iirc
@szurpx
@szurpx 3 жыл бұрын
I believe what was previous 10 ESF (and what's coming with Alderlake) is Intel "7". Intel "4" is what their "7nm" is now called. That one is supposed to be roughly equivalent to TSMC's 5nm. Please correct me if I'm wrong.
@leonardoruiz5994
@leonardoruiz5994 3 жыл бұрын
TSMC node is going to have something close to 192 transitors/mm2 Intel’s is going to have something close to 200/mm2
@Jsteeeez
@Jsteeeez 3 жыл бұрын
The second Ian posts a new video my day is made! You are making a lot of us regular non doctors of computer stuff a lot smarter every video you post.
@epobirs
@epobirs 3 жыл бұрын
I'm reminded of when Microsoft had version numbers on apps that reflected the actual development cycle without concern for platform differences. So Excel on Windows had feature parity with the Mac version but the Mac version had a much higher version number because the first several versions were solely on Mac. This made for some annoying confusion for the marketing department, so it was declared one day that version numbers were now about features sets and two platforms that had feature parity would have the same version number regardless of disparity of how much longer one platform had been around compared to another.
@Trick-Framed
@Trick-Framed 3 жыл бұрын
Once again Ian, excellent T shirt!
@SinisterPuppy
@SinisterPuppy 3 жыл бұрын
Glad it sounds like they're getting back on track. Hopefully no more issues with these future nodes with 10nm just being a fluke. Anyone know how foundries even begin to test something like 20A? 4yrs to go from FinFET to some drastically different transistor design, they have to know it will work? But how?
@ckmishn3664
@ckmishn3664 3 жыл бұрын
I'm unclear on one thing: Why is Intel buying foundry capacity for its GPUs at 7/6nm at TSMC, and, apparently, a ton of capacity at 3nm for CPUs at TSMC, while selling capacity at those same dimensions at its own fab?
@ryanwallace983
@ryanwallace983 3 жыл бұрын
Conceivably they value grabbing fab market share more than using their fabs for their own products
@bertnijhof5413
@bertnijhof5413 3 жыл бұрын
Intel can regain its place in the market, because it gets handed a head-start by the Dutch ASML.
@TechTechPotato
@TechTechPotato 3 жыл бұрын
It's also pay to play.
@Gepstra
@Gepstra 3 жыл бұрын
Yeah they did invest in ASML EUV technology to be fair Bert. Nevertheless, ASML is mighty important for our country and the world.
@SebastianSipos
@SebastianSipos 3 жыл бұрын
12:50 my argument is "no" good argument
@SvDKILLSWITCH
@SvDKILLSWITCH 3 жыл бұрын
I think the names are missing something. Like with 20A, 18A, maybe Intel should adopt 7N, 4N, 3N for these nodes. "Intel 7" just seems... empty?
@MithunKalan
@MithunKalan 3 жыл бұрын
you can actually see it
@rockrl98
@rockrl98 3 жыл бұрын
So, if you love consistency, then you must hate turbo boost?
@BenjaminRonlund
@BenjaminRonlund 3 жыл бұрын
I think there's something wrong with your mic. It seems to pick up breathing just after a sentence and makes it scratchy. Might be an audio filter you are using in Premiere or lack of.
@spektrumB
@spektrumB 3 жыл бұрын
After so many 14nm+++, finally Intel has something got me interested.
@robertstan298
@robertstan298 3 жыл бұрын
Intel's performance leadership for 2025: PR slides and fancy marketing.
@MrWarface1
@MrWarface1 3 жыл бұрын
Have u seen the performance leaks for alderlake?
@IvanOoze1990
@IvanOoze1990 3 жыл бұрын
@@MrWarface1 well, we always gotta see it to believe it though.
@miyagiryota9238
@miyagiryota9238 3 жыл бұрын
@@MrWarface1 ill wait
@MrChewy97
@MrChewy97 3 жыл бұрын
You laugh now...
@MrWarface1
@MrWarface1 3 жыл бұрын
@@IvanOoze1990 they were lit 3rd party benches. You can buy alderlake right now lmao.
@oraz.
@oraz. 3 жыл бұрын
I never really understood the physics that make smaller more power efficient. It's not resistance so I guess the key is lowering capacitance?
@lasarith2
@lasarith2 3 жыл бұрын
Think of it this way, walk from one side of the room to the other, then to the centre of the room , you just used 1/2 of the energy to get to the centre then walking across the entire room , less distance = you need less energy to move electrons across the chip .
@oraz.
@oraz. 3 жыл бұрын
@@lasarith2 I don't think the distance electrons have to travel makes much difference. Unless it's a very long distance.
@lasarith2
@lasarith2 3 жыл бұрын
@@oraz. it’s been a while since I looked into this , but the smaller distance means the transistors can switch on/off faster, meaning they use less energy then a larger transistor, on average TSMC says by about 30% reduction in power from 10nm to 7nm to 5nm etc, the smaller the transistor the less energy is needed to be on/off .
@Razz_Putitin
@Razz_Putitin 3 жыл бұрын
A little bit offtopic, but can someone explain what happend to the whole electron migration thing? Just a few years back the consensus was that it would be basicly impossible to get lower then 5nm, somehow no one gives a crap about that. Did something change?
@aceofhearts573
@aceofhearts573 3 жыл бұрын
I want to buy a new PC by the end of 2022 buy it seems I will have to wait a little more. I am actually really excited for Intel's GPU more than their CPUs. Wonder what the future of GPUs will be with these new nodes. Hopefully intel will use their cutting edge nodes for GPUs and not have them be second though since AMD and Nvidia always use nodes that are 1 or 2 years behind the CPUs
@prashanthb6521
@prashanthb6521 3 жыл бұрын
I dont care about those numbers anymore. I just want something fast & efficient at the lowest cost. Prices have been skyrocketing off late.
@jameswu7850
@jameswu7850 3 жыл бұрын
How long does it take for people to realize that a node is NOT a point, but a curve? A curve between perf to density, power to perf, etc. NO ONE LABELS A CURVE BY ITS RIGHTMOST POINT (e.g. max density)
@yakir11114
@yakir11114 3 жыл бұрын
i am dissapointed that they didnt start branding by average transistor density per square mm would be intuitive and refreshing to go from 200m to 250m, very clear absolute numbers
@mentalplayground
@mentalplayground 3 жыл бұрын
Intel Marketing VP: "We realise that your '+' key broke with our 14nm process and that our 10nm is so sh*t that we didn't want to call it 10nm----, so we've come up with new bullshit. We've got all these super advanced processes all lined up over the next few years which we will execute flawlessly as per our history, no-one needs to worry. We have so much confidence that we're not really going to build all our chips at TSMC or buy Global Foundaries, that's just AMD funded rumours. Oh and did we say Roadmap to 2025, actually I think you'll find that you mis-read that, it was always 2035."
@Flojer0
@Flojer0 3 жыл бұрын
Thanks Ian! Great explanation of Intel's Jiggerypokerey.
@arcadealchemist
@arcadealchemist 3 жыл бұрын
photonics is the future of quantum computers and it will be dominant soon
@Quast
@Quast 3 жыл бұрын
With all the naming mumbo-jumbo, will we even notice if there will be a real transition from a 5nm to 3nm node, performance-wise, or will we get a myriad of process node names across 5 years instead just to hide the fact it's again not going as planned like in the couple years after 2012?
@user-rx3ny9ji8i
@user-rx3ny9ji8i 3 жыл бұрын
How much time an engineer need to solve bullshit naming (in marketing) ? 6 months it seems ! Okay more like 4 years for the real effective change but I feel little base pillars in a company (like the naming scheme of your core product) is an important part to show honesty and knowlegde in the tech landscape you're in. Really interested in how the intel 3 -> intel 20A will be handled down the pipeline from the tech side and on the consumer(pro AND general) side
@RyTrapp0
@RyTrapp0 3 жыл бұрын
As long as they actually stick to this, it should help a lot in the future; but, in the present, I'm not so sure how much it helps. But, hey, gotta start somewhere. I'm still on "believe it when I see it" with the 'new & improved' Gellsinger Intel, just like I have been with AMD/Radeon, but Pat does seem to be making very smart, deliberate changes to the way Intel is operating and should be operating into the future. Things are definitely getting interesting as the [high performance] industry transitions to EUV, 3D construction becomes mass production viable, local memory is multiplying in size, of course the new pressure that Apple has put on the rest of the industry, etc., interesting times!
@douginorlando6260
@douginorlando6260 3 жыл бұрын
I had been looking forward to the day we switched from nm to Silicon atoms. 3 or 5 atoms per nm, depending on the crystal orientation. Also, transistors per square mm would have been a good way to define Intel’s manufacturing performance
@yuio823
@yuio823 3 жыл бұрын
Intel should not rush into the ARM architecture business, but should spend 10 years familiar with the ARM architecture before deciding whether to do so.
@truboxl
@truboxl 3 жыл бұрын
Now if they fix the naming for their processors
@delivanov252
@delivanov252 3 жыл бұрын
Came for the potato stayed for the chips.
@Aziqfajar
@Aziqfajar 3 жыл бұрын
Finally, getting out of the loop of the plus's. Good job, Intel. I don't know about the die stacking cuz as what Dr. Ian said, the data and power comes together when travelling between the two dies, in which perhaps they need to decide which area does what process. It's interesting that we are going into new technologies.
@Journetta
@Journetta 3 жыл бұрын
wonder if i should invest in intel stocks then
@ПётрБ-с2ц
@ПётрБ-с2ц 3 жыл бұрын
I wonder if it was really required because of opening their fabs to customers. Cannot believe that people who decide which fab to order from are using name as a guide instead of price and technical specifications.
@freckledtrout3299
@freckledtrout3299 3 жыл бұрын
My Texas accent hears old lake each time you say Alder lake.
The True Cost of Processor Manufacturing: TSMC 7nm
18:51
TechTechPotato
Рет қаралды 250 М.
How to Cool a 2600 Watt CPU or GPU 🔥🔥🚒
15:41
TechTechPotato
Рет қаралды 27 М.
Как подписать? 😂 #shorts
00:10
Денис Кукояка
Рет қаралды 8 МЛН
Spongebob ate Patrick 😱 #meme #spongebob #gmod
00:15
Mr. LoLo
Рет қаралды 21 МЛН
LLM evaluation evaluation on SQUAD2
44:54
Hacking AI
Рет қаралды 4
The Economics of AI are Failing, But We Can Fix It (With Lasers)
28:32
Microsoft Is KILLING Windows | ft. Steve @GamersNexus
19:19
Level1Techs
Рет қаралды 442 М.
ZEN 5 has a 3D V-Cache Secret
19:32
High Yield
Рет қаралды 52 М.
Ring or Mesh, or other? AMD's Future on CPU Connectivity
25:57
TechTechPotato
Рет қаралды 61 М.
Intel’s Next Breakthrough: Backside Power Delivery
19:13
Asianometry
Рет қаралды 189 М.
This is How IBM Will Revolutionize PC Gaming
20:29
TechTechPotato
Рет қаралды 182 М.
When Four Become One: Intel's Next Xeon is BIG
15:45
TechTechPotato
Рет қаралды 50 М.
Intel 10nm Yield Shock! 💀
21:32
TechTechPotato
Рет қаралды 114 М.
Как подписать? 😂 #shorts
00:10
Денис Кукояка
Рет қаралды 8 МЛН