11011 Sequence detector (5 bits) using Moore Overlap & Non-Overlap *Simplified*

  Рет қаралды 27,736

Karthik Vippala

Karthik Vippala

Күн бұрын

Hey guys in this video I have discussed about 11011 sequence detector using Moore machine.
Please feel free to comment , if you have any doubts.
please do subscribe it will help me a lot 👍
Chapters
0:00 Intro
0:16 Overlap & Non-Overlap
3:30 Moore Machine
4:10 Naming of states
5:57 11011 Moore overlap SM
9:45 11011 Moore Non-overlap SM

Пікірлер: 44
@infinitx1330
@infinitx1330 3 жыл бұрын
If it is not for good people like you on youtube , many people wouldn't be able to understand these concepts even if they wanted to. Thank you for your service to society
@KarthikVippala
@KarthikVippala 3 жыл бұрын
Namaskaram 🙏 Infinitx , And bcz of awesome people like you , I want to provide more and make things better 🤝, good luck & great health 👍😊
@Vnifit
@Vnifit 3 жыл бұрын
Dude you are amazing. Super clear and great expanation. This video is what made FSM's click for me! Please keep making videos!
@KarthikVippala
@KarthikVippala 3 жыл бұрын
You too are amazing 🤠 , good luck, good health 👍😊
@kusumasravani7078
@kusumasravani7078 2 жыл бұрын
Explained very clearly thank you bro
@sitinormashassan1936
@sitinormashassan1936 Жыл бұрын
Helps me a lots regarding this Moore machine. Thank you very much. keep a good works. you help society
@KarthikVippala
@KarthikVippala Жыл бұрын
Your welcome🙏
@JJHanna
@JJHanna 2 жыл бұрын
Excellent explanation, well done!
@KarthikVippala
@KarthikVippala 2 жыл бұрын
Namaste 🙏 JJHanna, thanks for the support, good luck and great health 👍😊
@preetamdewangansirclasses2502
@preetamdewangansirclasses2502 2 жыл бұрын
now my doubt is clear....great one
@KarthikVippala
@KarthikVippala 2 жыл бұрын
Thank you 🙏
@shilpa4600
@shilpa4600 3 жыл бұрын
Nice Videos Karthik. You are doing great job and helping many enthusiastic engineers to have better understanding of concepts. Could you please make a video on Reset synchronizer circuit. and Async and sync reset concept plzzz....
@KarthikVippala
@KarthikVippala 3 жыл бұрын
I will do it within 2 weeks 👍
@Prince_6299
@Prince_6299 Жыл бұрын
Awesome!!!!!!!
@KarthikVippala
@KarthikVippala Жыл бұрын
Thank you🙏
@holylarcenist9596
@holylarcenist9596 Жыл бұрын
How would you create the next state equation and output equations since you did not use state variables?
@nathanherling9836
@nathanherling9836 3 жыл бұрын
For the Non-overlap. If you receive a '1', at S_11, why aren't you forced to go back to S_1? Never-mind. I was thinking that if you broke the sequence inside a non-overlap state machine you had to start over.
@ankitha5491
@ankitha5491 2 жыл бұрын
Hii Karthik, plz help me with this. In overlap, Why you are selecting s11 as next state for s11 at 1 and also s110 to idle at 0. Can you explain it clearly?
@EasyEduHacks
@EasyEduHacks Жыл бұрын
Thanks
@KarthikVippala
@KarthikVippala Жыл бұрын
Your welcome🙏
@mahadav0995
@mahadav0995 18 күн бұрын
Am not understood what written with black sketch who they happened, at u took 0 at ideal, s1 state going to but how s11 state it self happened
@divijdarshan6392
@divijdarshan6392 Жыл бұрын
I had a doubt in the non overlap fsm when S11 is 1 shouldn't we go back to S1 rather than looping it around S11 because it is a non overlap and I see you did the same S11011 when its gave a 1 or am I missing something?
@thunderhype5972
@thunderhype5972 3 жыл бұрын
Hi, I would like to request your good self for 7 bits sequence detector on Moore and Meely overlapping and non overlapping. 🙏
@user-yy7uh9qi4g
@user-yy7uh9qi4g 3 ай бұрын
HOW TO IDENTIFY FROM IF STATE DIAGRAM IS GIVEN PLEASE TELL...
@uday5786
@uday5786 3 жыл бұрын
Hey Karthik,i want you to make video on cmos latch up
@KarthikVippala
@KarthikVippala 3 жыл бұрын
Next weekend 👍
@KarthikVippala
@KarthikVippala 3 жыл бұрын
Hey uday , can't make it this week due to personal reasons. Hope you understand 👍
@uday5786
@uday5786 3 жыл бұрын
@@KarthikVippalaits ok Karthik..
@satyabratarout2109
@satyabratarout2109 3 жыл бұрын
When you are in S11 when input is 1 why you aren't went back to idle
@KarthikVippala
@KarthikVippala 3 жыл бұрын
Namaskaram satyavrata🙏, thanks for asking , in overlap we can start the seq from s11 and in non overlap we got 11 , if we get 1 we can start sequence from second 1 ,it's not overlapping. Good luck & great health 👍😊 take care
@revanthjangili3159
@revanthjangili3159 3 жыл бұрын
Can I have a video for 10101 for both overlap n non overlap
@KarthikVippala
@KarthikVippala 3 жыл бұрын
I can help you in drawing , but I am busy so no video. Thanks for asking, good luck, good health 👍😊
@revanthjangili3159
@revanthjangili3159 3 жыл бұрын
@@KarthikVippala please just give the final drawing...tq
@KarthikVippala
@KarthikVippala 3 жыл бұрын
Ok fine can you share your mail I will forward it
@revanthjangili3159
@revanthjangili3159 3 жыл бұрын
@@KarthikVippala revanth319@gmail.com
@revanthjangili3159
@revanthjangili3159 3 жыл бұрын
Tq
@rrichard8719
@rrichard8719 2 жыл бұрын
I didnt understand why S11011 0 went to S110 in overlap 👀
@KarthikVippala
@KarthikVippala 2 жыл бұрын
Namaskaram 🙏, Richard , in s11011 if we get 0 last three digits will be 110 so in overlap we got s110 state. Good luck and great health 👍😊 Pranamam Karthik vippala
@kimberlycatuday6287
@kimberlycatuday6287 3 жыл бұрын
May I asked something
@KarthikVippala
@KarthikVippala 3 жыл бұрын
?
@mahadav0995
@mahadav0995 18 күн бұрын
Not understanding particular why are u taking input as 1 at s1 and making remains at s1 only, why are you not taking as 0 input at s1 state
@JONY1984ish
@JONY1984ish 2 жыл бұрын
S110/0 >> Here... if it gets 1 then will move to next state that's ok.. but if gets 0 then why would it jump back to IDLE state, rather it stay there only !!!!! .... others plz comment on this observation
@getout5692
@getout5692 Жыл бұрын
If we will stay there it will become 1100 which is not our sequence hence we have to restart
Clowns abuse children#Short #Officer Rabbit #angel
00:51
兔子警官
Рет қаралды 57 МЛН
Red❤️+Green💚=
00:38
ISSEI / いっせい
Рет қаралды 65 МЛН
State Diagram and State Table for Sequence detector using Moore Model (Non-overlapping Type)
12:29
WIT Solapur - Professional Learning Community
Рет қаралды 12 М.
0111 Sequence Detector-Using Mealy and Moore FSM
19:18
Easy Electronics
Рет қаралды 230 М.
Design Sequence detector using mealy and moore machines
27:50
Dhara Patel
Рет қаралды 19 М.
Mealy vs. Moore Machines Overview
12:26
Bruce Boatner
Рет қаралды 409 М.
Digital Logic - State Reduction
10:01
Robot Brigade
Рет қаралды 55 М.
Clowns abuse children#Short #Officer Rabbit #angel
00:51
兔子警官
Рет қаралды 57 МЛН