No video

N bit Multiplier in Verilog (with code)| Verilog Project | Xilinx Vivado | Electronics Project

  Рет қаралды 15,220

Arjun Narula

Arjun Narula

Күн бұрын

Пікірлер: 62
@dr.ritamahajan9937
@dr.ritamahajan9937 2 жыл бұрын
Excellent work. Proud of you
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you ma'am. Your appreciation means a lot!!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Please find the code in the link description. Any suggestions or queries are most welcomed. PLEASE SUBSCRIBE TO THE CHANNEL. Other Projects- ►Traffic Light Controller in Verilog - kzbin.info/www/bejne/j6Wan6Jsp9x2jM0 ►Round Robin Arbiter in Verilog - kzbin.info/www/bejne/jmfSe6Ftp55gbtU ►Vedic Multiplier in Verilog - kzbin.info/www/bejne/bH6Wn6yvhsujfrc ►Clock with Alarm in Verilog - kzbin.info/www/bejne/poXOYntrZcprmKs ►Washing Machine in Verilog - kzbin.info/www/bejne/n3LSmmygid-rmas ►N bit Multiplier in Verilog - kzbin.info/www/bejne/op7ddJeua8yemrc ►PWM Shift Register in Verilog - kzbin.info/www/bejne/hqucpIOcobCjjsU ►Vending Machine in Verilog - kzbin.info/www/bejne/qnvGYZWid6mFsNE ►Hexadecimal Keypad Scanner in Verilog - kzbin.info/www/bejne/j2LGoWWhrNSma68 ►RAM - ROM Design in Verilog - kzbin.info/www/bejne/o2Kbiohvrc94irc
@the_coffee_addict4500
@the_coffee_addict4500 2 жыл бұрын
Finding your content Very Useful ... keep doing it ,Arjun Narula😄
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Glad you liked it, Sandeep. Thanks!!
@chaitanyagupta6668
@chaitanyagupta6668 2 жыл бұрын
Tricky concept explained in easy way, naice.😍
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Glad you liked it , Chaitanya ✨
@veenuchadha983
@veenuchadha983 2 жыл бұрын
Great project Arjun Well done God Bless you
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you 😇😇
@adityamehta4147
@adityamehta4147 2 жыл бұрын
Detailed explanation👏 Looking forward for more videos💯
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Aditya 😊. Stay tuned 😉.
@vimminarula5523
@vimminarula5523 2 жыл бұрын
Nice presentation God bless you
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks mumma ✨
@poojaagrawal4417
@poojaagrawal4417 2 жыл бұрын
Great work! Crisp and easy to understand. 😀😀
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Pooja di😇😇
@tanmayjoshi1788
@tanmayjoshi1788 2 жыл бұрын
Very good project with great explanation. Keep it up!!!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks for the appreciation Tanmay ✨
@ishajain949
@ishajain949 2 жыл бұрын
Another great video, project and explanation as always 🤩✨
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you Isha ✨😊
@adityaagrawal8717
@adityaagrawal8717 2 жыл бұрын
Such a cool project with an amazing explanation. Kudos to the amazing work 🔥💖
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Aditya , means a lot ✨.
@saksham2031
@saksham2031 2 жыл бұрын
Awesome explanation 👌
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Saksham 😊.
@yuganshibharti3892
@yuganshibharti3892 2 жыл бұрын
Great project and explanation as well!🔥🔥 Keep it up 😎😎
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you Yuganshi 😊🔥.
@diwanshi6669
@diwanshi6669 2 жыл бұрын
Amazing work as well as explanation👏
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you so much Diwanshi ✨
@muskanmittal4171
@muskanmittal4171 2 жыл бұрын
Great project, well explained!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you Muskan ✨✨
@mehakgoyal7173
@mehakgoyal7173 2 жыл бұрын
Great video and explanation!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you for your help and guidance ☺️😇
@harmanchawla33
@harmanchawla33 2 жыл бұрын
💯💯💯💯
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
😇
@raeleenkanda8270
@raeleenkanda8270 2 жыл бұрын
Quite helpful 👍🏻👍🏻
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Raeleen ✨✨
@ritikgupta8478
@ritikgupta8478 2 жыл бұрын
Great explanation !! 💯💯
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Ritik ✨✨
@vankshubansal6495
@vankshubansal6495 2 жыл бұрын
Great work!!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you Vankshu ✨✨
@sarthakwali1210
@sarthakwali1210 2 жыл бұрын
Good project! 👍
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Sarthak ✨✨
@saumyachandra3553
@saumyachandra3553 2 жыл бұрын
Nice work
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Saumya ✨
@geetikabansal7311
@geetikabansal7311 2 жыл бұрын
👍👍
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
😇😇
@vimminarula5523
@vimminarula5523 2 жыл бұрын
Good work keep it up
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks mumma 😊😊
@Desi_Odyssey
@Desi_Odyssey 2 жыл бұрын
Vadiya 👌
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Dhanyawad 😊
@harshitgupta476
@harshitgupta476 2 жыл бұрын
I don't understood the need of clock in this code???
@sanyamjain1617
@sanyamjain1617 2 жыл бұрын
👌👌👍👍
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
🌟😇
@Thepahadiduo
@Thepahadiduo 2 жыл бұрын
Brother, when we got our final output, should we not reset our inputs because in 'a' there is some value that will remain. So for the next multiplication, we have to reset our machine for further calculation.
@isaacmorenofuentes6194
@isaacmorenofuentes6194 Жыл бұрын
You are awesome Arjun!!!
@apoorvakn8285
@apoorvakn8285 2 жыл бұрын
thank you
@smas5762
@smas5762 Жыл бұрын
Tanks❤
@akshaybharadwaj1508
@akshaybharadwaj1508 2 жыл бұрын
tq for the knowledge transfer
@chessboard7379
@chessboard7379 2 жыл бұрын
8 bit multiplier hai ismein??
@chessboard7379
@chessboard7379 2 жыл бұрын
Multiplier kitne bit ka h
@yashasgowda2723
@yashasgowda2723 2 жыл бұрын
Which simulation tool should i use to execute this code
@typicalindian6754
@typicalindian6754 Жыл бұрын
Xilinx Vivado
@Aks068
@Aks068 Жыл бұрын
🔴🔴🔴Can anyone PLEASE explain why ( ((2*N)/3)+1)*4 -1) taken for BCD ?
Oh No! My Doll Fell In The Dirt🤧💩
00:17
ToolTastic
Рет қаралды 10 МЛН
小丑把天使丢游泳池里#short #angel #clown
00:15
Super Beauty team
Рет қаралды 48 МЛН
The Joker kisses Harley Quinn underwater!#Harley Quinn #joker
00:49
Harley Quinn with the Joker
Рет қаралды 18 МЛН
SPONGEBOB POWER-UPS IN BRAWL STARS!!!
08:35
Brawl Stars
Рет қаралды 21 МЛН
Why Democracy Is Mathematically Impossible
23:34
Veritasium
Рет қаралды 2 МЛН
ML Was Hard Until I Learned These 5 Secrets!
13:11
Boris Meinardus
Рет қаралды 282 М.
The best way to start learning Verilog
14:50
Visual Electric
Рет қаралды 117 М.
SHA: Secure Hashing Algorithm - Computerphile
10:21
Computerphile
Рет қаралды 1,2 МЛН
Why Do Computers Use 1s and 0s? Binary and Transistors Explained.
7:00
Basics Explained, H3Vtux
Рет қаралды 4,3 МЛН
Oh No! My Doll Fell In The Dirt🤧💩
00:17
ToolTastic
Рет қаралды 10 МЛН