Verilog HDL Project | Round Robin Arbiter(with code) | EDA Playground | Verilog

  Рет қаралды 15,241

Arjun Narula

Arjun Narula

Күн бұрын

In this Verilog project, we will discuss and implement Round Robin Arbiter in Verilog HDL. It has been written and simulated by Mr. Aditya Mehta in an online simulator EDA Playground.
Please find the Verilog code below: github.com/Arjun-Narula/Round...
Other Projects-
►Traffic Light Controller in Verilog - • Traffic Light Controll...
►Round Robin Arbiter in Verilog - • Verilog HDL Project | ...
►Vedic Multiplier in Verilog - • HDL Verilog Project | ...
►Clock with Alarm in Verilog - • HDL Verilog Project (w...
►Washing Machine in Verilog - • Washing Machine using ...
►N bit Multiplier in Verilog - • N bit Multiplier in Ve...
►PWM Shift Register in Verilog - • Verilog Project | PWM ...
►Vending Machine in Verilog - • Vending Machine in Ver...
►Hexadecimal Keypad Scanner in Verilog - • Verilog Project | Hexa...
►RAM - ROM Design in Verilog - • RAM and ROM design in ...
#verilog #verilogproject #arjunnarula #electronic
0:00 INTRODUCTION TO THE CHANNEL
0:07 INTRODUCTION TO THE PROJECT
0:30 ARBITER AND IT'S USES?
2:50 ROUND ROBIN SCHEDULER
7:01 ARBITER GENERAL TOPOLOGY
12:49 STATE MACHINE
14:06 TIMING WAVEFORM
15:42 VERILOG CODE

Пікірлер: 72
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Please find the code in the link description. Any suggestions or queries are most welcomed. PLEASE SUBSCRIBE TO THE CHANNEL. Other Projects- ►Traffic Light Controller in Verilog - kzbin.info/www/bejne/j6Wan6Jsp9x2jM0 ►Round Robin Arbiter in Verilog - kzbin.info/www/bejne/jmfSe6Ftp55gbtU ►Vedic Multiplier in Verilog - kzbin.info/www/bejne/bH6Wn6yvhsujfrc ►Clock with Alarm in Verilog - kzbin.info/www/bejne/poXOYntrZcprmKs ►Washing Machine in Verilog - kzbin.info/www/bejne/n3LSmmygid-rmas ►N bit Multiplier in Verilog - kzbin.info/www/bejne/op7ddJeua8yemrc ►PWM Shift Register in Verilog - kzbin.info/www/bejne/hqucpIOcobCjjsU ►Vending Machine in Verilog - kzbin.info/www/bejne/qnvGYZWid6mFsNE ►Hexadecimal Keypad Scanner in Verilog - kzbin.info/www/bejne/j2LGoWWhrNSma68 ►RAM - ROM Design in Verilog - kzbin.info/www/bejne/o2Kbiohvrc94irc
@harshitsingh480
@harshitsingh480 Жыл бұрын
have you done rtl synthesis and rtl to gds flow of it? need some help of yours in layout
@yuganshibharti3892
@yuganshibharti3892 2 жыл бұрын
Explanation and presentation is phenomenal!! Awesome work guys 🔥🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Glad you liked it Yuganshi !!😊
@atushgoel7823
@atushgoel7823 2 жыл бұрын
Great work Arjun and Aditya
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks ✨✨
@ishajain949
@ishajain949 2 жыл бұрын
Amazing work ✨ Great explanation of every aspect of the project Aditya✨ Great channel Arjun 💯
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you for the appreciation Isha!!😊😊
@ayushmahendru1858
@ayushmahendru1858 2 жыл бұрын
Amazing project guys!!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Ayush!!
@divanshubansal2474
@divanshubansal2474 2 жыл бұрын
Too goood. A well explained and unique project
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Divanshu !!😊
@parashgoswami7561
@parashgoswami7561 2 жыл бұрын
Keep up the good work guys 👍🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you Parash!!!
@sarthakwali1210
@sarthakwali1210 2 жыл бұрын
Great and well-explained content!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Sarthak!✨
@sumantpathak7151
@sumantpathak7151 2 жыл бұрын
Great one! Op! 🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Sumant! ✨
@shamanthkolli2214
@shamanthkolli2214 2 жыл бұрын
Great Content , Well simplified !!!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Shamanth ✨✨
@tanishgarg2574
@tanishgarg2574 2 жыл бұрын
Great explanation 🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Tanish!!
@raeleenkanda8270
@raeleenkanda8270 2 жыл бұрын
Great project ✨👏🏻
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you Raeleen!!🌟
@ritikgupta8478
@ritikgupta8478 2 жыл бұрын
Great project !!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you Ritik!!!
@mehakjain1956
@mehakjain1956 2 жыл бұрын
Great project!!✨
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Mehak!!✨
@vimminarula5523
@vimminarula5523 2 жыл бұрын
Nice project God bless you
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you 😊😊
@varunbansal2633
@varunbansal2633 2 жыл бұрын
Great 🔥🔥🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks 😊
@designerguy_13anuragsharma59
@designerguy_13anuragsharma59 2 жыл бұрын
Good going folks
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you sir!
@diwanshi6669
@diwanshi6669 2 жыл бұрын
Well done👌
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks 😊
@mehakgoyal7173
@mehakgoyal7173 2 жыл бұрын
Well explained
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Mehak!!
@harshitsingh480
@harshitsingh480 Жыл бұрын
have you done rtl synthesis and rtl to gds flow of it?
@debasishkar761
@debasishkar761 7 ай бұрын
IN the GITHUB code I am seeing this signal is not driven "mask_enable" but used as a load.
@runcongkuang7965
@runcongkuang7965 7 ай бұрын
Thanks for your wonderful explanation. However, I can't find the logic of mask_enable in the code. Do you miss that?
@mahendrabirla5337
@mahendrabirla5337 Жыл бұрын
Very Nice explanation can you please say where did you defined burst time and time quantum
@anweshangoswami5636
@anweshangoswami5636 Жыл бұрын
Thank'a a lot for this Arjun..keep up the good work. Can you please let me about resources where I can read about the architecture of this whole design in depth..that will help for a better understanding. I searched in google, but only the scheduling algorithms are there..there isn't anything about the architecture & working anywhere!!
@SaharshAggarwal
@SaharshAggarwal 2 жыл бұрын
Where did you drive mask_enable?
@user-fc8iu9zn4t
@user-fc8iu9zn4t 8 ай бұрын
from where does mask enable value come ?
@rinagharat29
@rinagharat29 2 жыл бұрын
Hey... can you please make video on round robin arbiter using xilinx vivado
@tanujicr
@tanujicr 3 ай бұрын
the code is missing mask_enable logic, when do you set it?
@JinWonLee
@JinWonLee Жыл бұрын
Where is the FSM code for the mask_enable signal? Is your code compilable?
@chbhaskar6413
@chbhaskar6413 Жыл бұрын
Did u get the answer
@JinWonLee
@JinWonLee Жыл бұрын
@@chbhaskar6413 No......
@chbhaskar6413
@chbhaskar6413 Жыл бұрын
@@JinWonLee i too have the same issue
@Weekend_vloger_yt
@Weekend_vloger_yt 2 жыл бұрын
🔥🔥🔥🔥🔥🔥🔥🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
😇😇
@user-jt9uq6re3e
@user-jt9uq6re3e 10 ай бұрын
Can you implement this on FPGA?
@harmanchawla33
@harmanchawla33 2 жыл бұрын
👍👍
@user-jt9uq6re3e
@user-jt9uq6re3e 7 ай бұрын
In testbench code it is not working for other inputs other than you have given can you please tell me that how to clear that
@ankur6098
@ankur6098 7 ай бұрын
did you implement the state machine..?
@user-jt9uq6re3e
@user-jt9uq6re3e 7 ай бұрын
@@ankur6098 how to implement that ??? Please tell me
@chaitanyagupta6668
@chaitanyagupta6668 2 жыл бұрын
nice
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks!!
@gokulp6878
@gokulp6878 2 жыл бұрын
great.can you explain i2c verilog fsm ?
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Sure Gokul, Stay tuned for more projects. I2C is definitely on the list.
@gokulp6878
@gokulp6878 2 жыл бұрын
@@ArjunNarula1122 thank you
@satishpampanaboina2409
@satishpampanaboina2409 2 жыл бұрын
Sir design of QSD Multipller Using HDL. Is my project please give me vedeo link.
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
QSD multiplier is definitely on the list. Till then stay tuned!!!
@satishpampanaboina2409
@satishpampanaboina2409 2 жыл бұрын
@@ArjunNarula1122 Sir i have interview so please send me QSD multiplier using HDL video link
@battlizer1101
@battlizer1101 2 жыл бұрын
Noice
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks!!
@somilkumar4163
@somilkumar4163 2 жыл бұрын
where is the code
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Verilog Code - github.com/Arjun-Narula/Round-Robin-Arbiter Do consider Subscribing to the channel for more such videos.
@rohandutta5694
@rohandutta5694 2 жыл бұрын
Good explanation but little confused on how the code works. I didn’t understand what is the driver for mask_enable. There is some part of the logic missing for that signal
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
mask_enable in code is the output signal from the LASMAS state machine (refer to 7:10 in video), which gets connected to CE (Enable pin) of register. Whenever CE is active, the outputs from encoder logic gets stored in the register. To complete the data-flow modelling for the general topology such that it justifies the circuit , it was included in code for better understanding. You can consider it as the value in ‘lgnt’ (from encoder logic) non-blockingly gets stored in lmask0 and lmask1 variables depending upon reset conditions.
Round Robin планировщик процессов
15:30
Volodya Mozhenkov
Рет қаралды 7 М.
Incredible magic 🤯✨
00:53
America's Got Talent
Рет қаралды 78 МЛН
Now THIS is entertainment! 🤣
00:59
America's Got Talent
Рет қаралды 16 МЛН
Here's What Happens When an 18 Year Old Buys a Mainframe
45:12
SHARE Association
Рет қаралды 3,2 МЛН
Verilog Design, Simulation & Synthesis of Round Robin Arbiter | Hardware Design | @vlsiexcellence
12:31
The moment we stopped understanding AI [AlexNet]
17:38
Welch Labs
Рет қаралды 660 М.
What is Round Robin Arbitration ? Explained
3:15
Karthik Vippala
Рет қаралды 9 М.
НЕ ПОКУПАЙ СМАРТФОН, ПОКА НЕ УЗНАЕШЬ ЭТО! Не ошибись с выбором…
15:23
КРУТОЙ ТЕЛЕФОН
0:16
KINO KAIF
Рет қаралды 5 МЛН
Klavye İle Trafik Işığını Yönetmek #shorts
0:18
Osman Kabadayı
Рет қаралды 4,1 МЛН