Verilog Project | PWM Shift Register | Xilinx Vivado | Electronics Project

  Рет қаралды 7,156

Arjun Narula

Arjun Narula

Күн бұрын

Пікірлер: 73
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Please find the code in the link description. Any suggestions or queries are most welcomed. PLEASE SUBSCRIBE TO THE CHANNEL. LET US AIM 300 SUBSCRIBERS!!!! Other Projects- ►Traffic Light Controller in Verilog - kzbin.info/www/bejne/j6Wan6Jsp9x2jM0 ►Round Robin Arbiter in Verilog - kzbin.info/www/bejne/jmfSe6Ftp55gbtU ►Vedic Multiplier in Verilog - kzbin.info/www/bejne/bH6Wn6yvhsujfrc ►Clock with Alarm in Verilog - kzbin.info/www/bejne/poXOYntrZcprmKs ►Washing Machine in Verilog - kzbin.info/www/bejne/n3LSmmygid-rmas ►N bit Multiplier in Verilog - kzbin.info/www/bejne/op7ddJeua8yemrc ►PWM Shift Register in Verilog - kzbin.info/www/bejne/hqucpIOcobCjjsU ►Vending Machine in Verilog - kzbin.info/www/bejne/qnvGYZWid6mFsNE ►Hexadecimal Keypad Scanner in Verilog - kzbin.info/www/bejne/j2LGoWWhrNSma68 ►RAM - ROM Design in Verilog - kzbin.info/www/bejne/o2Kbiohvrc94irc
@satyajeetnirmal7677
@satyajeetnirmal7677 2 жыл бұрын
Sir I want voting machine using verilog code, can you send the link in description pls
@ishajain949
@ishajain949 2 жыл бұрын
Awesome presentation and delivery of the project Aditya ✨ Keep up the good work Arjun ✨
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks a lott Isha!!!
@yuganshibharti3892
@yuganshibharti3892 2 жыл бұрын
Another awesome collab!! Very engaging and informative video. Well done😎😎💯💯💫
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank You Yuganshi !!!
@yuktasachdeva1204
@yuktasachdeva1204 2 жыл бұрын
Explained so simply and beautifully ✨✨ Phenomenal work guys🔥🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you for the appreciation Yukta ✨✨
@bharatgoyal2864
@bharatgoyal2864 2 жыл бұрын
Amazing work done by you guys 💯!! Keep up the hard work Arjun and team 👍
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thnks a lot sir!!! Appreciation from you means a lot!!!
@battlizer1101
@battlizer1101 2 жыл бұрын
Can't wait to see this collab
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Hope you liked it!!
@adityamehta4147
@adityamehta4147 2 жыл бұрын
Great project with detailed explanation👏
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Aditya!!
@divanshubansal2474
@divanshubansal2474 2 жыл бұрын
Very excited for this🤩
@ayushmahendru1858
@ayushmahendru1858 2 жыл бұрын
Very detailed project!! Amazing work guys!!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you Ayush !!
@vimminarula5523
@vimminarula5523 2 жыл бұрын
Nice presentation Keep it up God bless you
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks mumma ✨✨
@Desi_Odyssey
@Desi_Odyssey 2 жыл бұрын
Explanation is so good, really amazing 💯💯
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Glad you liked it !!
@abhishekabhishek5944
@abhishekabhishek5944 2 жыл бұрын
Wow! for such a coherent explanation! Really amazing content, kudos! For your efforts.
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks for the appreciation Abhishek ✨
@parashgoswami7561
@parashgoswami7561 2 жыл бұрын
Exceptional explanation 🔥🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Parash!!!
@pallabsaha8214
@pallabsaha8214 2 жыл бұрын
Great work guys 👏 Keep on uploading such knowledgeable videos 💯
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks for the appreciation Pallab !!
@Weekend_vloger_yt
@Weekend_vloger_yt 2 жыл бұрын
great work 🔥🔥🔥🔥🔥🔥🔥🔥🔥🔥🔥🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Anshul!!
@gagandeepchauhan928
@gagandeepchauhan928 2 жыл бұрын
Great work guys 👍👍
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Gagandeep!!
@sumantpathak7151
@sumantpathak7151 2 жыл бұрын
Man this was really great!! 💯🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks for the appreciation Sumant!!
@chiragmittal6372
@chiragmittal6372 2 жыл бұрын
Grt grt explanation!!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Chirag!!
@raeleenkanda8270
@raeleenkanda8270 2 жыл бұрын
Quite informative ✨✨
@ritikgupta8478
@ritikgupta8478 2 жыл бұрын
Very well explained 💯💯
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
ThankYou Ritik!!
@divanshubansal2474
@divanshubansal2474 2 жыл бұрын
Great video🥳👍
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks a lot Divanshu!!
@atushgoel7823
@atushgoel7823 2 жыл бұрын
Great Explanation and really helpful👍
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Glad you liked it Atush!!
@diwanshi6669
@diwanshi6669 2 жыл бұрын
Very well explained 👏 👌
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Diwanshi!!
@ashutosh2075
@ashutosh2075 2 жыл бұрын
Nice work bro
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank You Ashutosh!!
@mehakgoyal7173
@mehakgoyal7173 2 жыл бұрын
Great explanation
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Mehak!!
@manrajmeena7658
@manrajmeena7658 2 жыл бұрын
great work brother!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Manraj!!
@saksham2031
@saksham2031 2 жыл бұрын
Awesome explanation!💥💥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Saksham!!
@sarthakwali1210
@sarthakwali1210 2 жыл бұрын
Well explained guys!👍🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Sarthak ✨
@vimminarula5523
@vimminarula5523 2 жыл бұрын
Waoh great
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks mumma!!
@varunbansal2633
@varunbansal2633 2 жыл бұрын
Great work 🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Varun!!
@govindnair3135
@govindnair3135 2 жыл бұрын
Bhaiyya How can i learn all this knowledge.. do u conduct any courses?
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Hi Govind, Currently we do not conduct any courses . In case you need a specific course curated for yourself. Do email/LinkedIn message me. All the best ✨
@sparshgupta3922
@sparshgupta3922 2 жыл бұрын
Very well explained 🔥💖
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Sparsh!!!
@vankshubansal6495
@vankshubansal6495 2 жыл бұрын
Great work
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you Vankshu ✨✨
@harmanchawla33
@harmanchawla33 2 жыл бұрын
👍👍👍
@ajaymehrotra5931
@ajaymehrotra5931 8 ай бұрын
can you please provide the code for this PWM shift register, in video it's not properly arranged.
@ronixbhaskar9195
@ronixbhaskar9195 2 жыл бұрын
plz apne konsa board choose kiya hai xilinx mein
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
So it is basically the default FPGA in the vivado project. The default part and product family for the new project: Default Part xc7vx485tffg1157-1 Product: Virtex-7 Family: Virtex-7 Package: ffg1157 Speed Grade: -1 I do understand you are facing issues since you are a beginner. Please do mail / linkedin me so that i can send you screenshots to help you out.
@faisalsaeedawan5362
@faisalsaeedawan5362 Жыл бұрын
it is not a synthesizable code because you used #1 in PWM_Gen.v
@geetikabansal7311
@geetikabansal7311 2 жыл бұрын
👍👍👏👏
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
✨😇
@Jahnavi015
@Jahnavi015 5 ай бұрын
Can u please provide the total code
40 - PWM Design in Verilog
30:05
Anas Salah Eddin
Рет қаралды 16 М.
规则,在门里生存,出来~死亡
00:33
落魄的王子
Рет қаралды 30 МЛН
哈哈大家为了进去也是想尽办法!#火影忍者 #佐助 #家庭
00:33
火影忍者一家
Рет қаралды 117 МЛН
Bike Vs Tricycle Fast Challenge
00:43
Russo
Рет қаралды 112 МЛН
Designing a First In First Out (FIFO) in Verilog
24:41
Shepherd Tutorials
Рет қаралды 28 М.
NSA Releases Internal 1982 Lecture by Computing Pioneer Rear Admiral Grace Hopper
1:29:36
The Black Vault Originals
Рет қаралды 245 М.
Flawless PCB design: RF rules of thumb - Part 1
15:45
Hans Rosenberg
Рет қаралды 76 М.
3 engineers race to design a PCB in 2 hours | Design Battle
11:50
Predictable Designs
Рет қаралды 294 М.
How does a VCR work?
15:00
Jared Owen
Рет қаралды 6 МЛН
规则,在门里生存,出来~死亡
00:33
落魄的王子
Рет қаралды 30 МЛН