No video

Washing Machine using Verilog (with code) | Verilog HDL Project by @Dhaval Gupta | FSM | Vivado

  Рет қаралды 24,532

Arjun Narula

Arjun Narula

Күн бұрын

Пікірлер: 104
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Please find the code in the link description. Any suggestions or queries are most welcomed. PLEASE SUBSCRIBE TO THE CHANNEL. LET US AIM 250 SUBSCRIBERS!!!! Other Projects- ►Traffic Light Controller in Verilog - kzbin.info/www/bejne/j6Wan6Jsp9x2jM0 ►Round Robin Arbiter in Verilog - kzbin.info/www/bejne/jmfSe6Ftp55gbtU ►Vedic Multiplier in Verilog - kzbin.info/www/bejne/bH6Wn6yvhsujfrc ►Clock with Alarm in Verilog - kzbin.info/www/bejne/poXOYntrZcprmKs ►Washing Machine in Verilog - kzbin.info/www/bejne/n3LSmmygid-rmas ►N bit Multiplier in Verilog - kzbin.info/www/bejne/op7ddJeua8yemrc ►PWM Shift Register in Verilog - kzbin.info/www/bejne/hqucpIOcobCjjsU ►Vending Machine in Verilog - kzbin.info/www/bejne/qnvGYZWid6mFsNE ►Hexadecimal Keypad Scanner in Verilog - kzbin.info/www/bejne/j2LGoWWhrNSma68 ►RAM - ROM Design in Verilog - kzbin.info/www/bejne/o2Kbiohvrc94irc
@daringdeshik9616
@daringdeshik9616 Жыл бұрын
Can u please tell us that additional code for dumping it into FPGA kit
@vimminarula5523
@vimminarula5523 2 жыл бұрын
Keep it up my child
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks mumma!!
@vimminarula5523
@vimminarula5523 2 жыл бұрын
Nice presentation God bless you my child
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks mumma!!
@yuganshibharti3892
@yuganshibharti3892 2 жыл бұрын
you both did phenomenal work in this collab.😎😎🔥🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank youu Yuganshi ✨
@parashgoswami7561
@parashgoswami7561 2 жыл бұрын
Great going Arjun 🔥🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Parash!!
@adityamehta4147
@adityamehta4147 2 жыл бұрын
Great project🔥💯 Explanation was amazing👏
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks you Aditya 😊
@Weekend_vloger_yt
@Weekend_vloger_yt 2 жыл бұрын
Great work ... recommended 🔥🔥🔥🔥🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you Anshul 😇😇
@arunpandian294
@arunpandian294 2 жыл бұрын
A request, kindly refrain from adding such loud outros. Was listening to his explanation with full sound and then had an intense sound in the ear. Pls do this. It was a great explanation btw. Thank You
@yuktasachdeva7822
@yuktasachdeva7822 2 жыл бұрын
Nice project and very well explained 💐💫💥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Glad you liked it Yukta 🌟😊🎉
@ishajain949
@ishajain949 2 жыл бұрын
Great Project ✨ Informative and well explained Dhaval ✨
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thaanks Isha!!
@diwanshi6669
@diwanshi6669 2 жыл бұрын
Very well explained
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Diwanshi!!
@saksham7111
@saksham7111 2 жыл бұрын
Nice Project and awesome explanation!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Saksham!!
@vaibhavgcool
@vaibhavgcool 2 жыл бұрын
Great project and very well explained 👏🙌
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Vaibhav!!
@raeleenkanda8270
@raeleenkanda8270 2 жыл бұрын
Fascinating presentation 💐💐
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you Raeleen 😊😊
@SagarKumar-eu5xu
@SagarKumar-eu5xu 2 жыл бұрын
Great project! Also, the explanation was detailed
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you for the appreciation Sagar ✨✨
@ritikgupta8478
@ritikgupta8478 2 жыл бұрын
Awesome explanation and great project. 💯
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Ritik 😊✨
@InnocentGarg
@InnocentGarg 2 жыл бұрын
Very well presented ✨👍
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Twinkle sir ✨
@mehakgoyal7173
@mehakgoyal7173 2 жыл бұрын
Amazing explanation!!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you Mehak!!!
@utpalsemwal6288
@utpalsemwal6288 2 жыл бұрын
Amazing work done
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Utpal!!
@ashutosh2075
@ashutosh2075 2 жыл бұрын
Amazing work
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Ashutosh!!! Really appreciate you subscribing to the channel!!
@sparshgupta3922
@sparshgupta3922 2 жыл бұрын
Great explanation!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Sparsh!!!
@akshitgupta7561
@akshitgupta7561 2 жыл бұрын
Wow🔥🔥🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Akshit!!
@ayushmahendru1858
@ayushmahendru1858 2 жыл бұрын
Amazing project!!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Ayush!!
@varunbansal2633
@varunbansal2633 2 жыл бұрын
Great work 🔥🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Varun!!
@harmanchawla33
@harmanchawla33 2 жыл бұрын
👍👍
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Harman!!
@danishgupta4924
@danishgupta4924 2 жыл бұрын
Awesome
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Danish!!
@atushgoel7823
@atushgoel7823 2 жыл бұрын
Great Project 🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Atush!!
@Desi_Odyssey
@Desi_Odyssey 2 жыл бұрын
👏 bravo
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
🎉
@sarthakj84
@sarthakj84 2 жыл бұрын
Amazing work. Really helped a lot! Thanks!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Sarthak!! Glad we could be some help ✨✨
@gaurav__singla
@gaurav__singla 2 жыл бұрын
Nice project 🔥🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Gaurav!!
@matinfazel8240
@matinfazel8240 Жыл бұрын
Thanks for sharing your useful knowledge!
@loyal8060
@loyal8060 2 жыл бұрын
keep uploading regularly
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Sure Akshay, please stay tuned for regular videos.
@devashishbawa8236
@devashishbawa8236 2 жыл бұрын
Great Efforts Arjun🔥
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks a lot Devashish!!!
@divanshubansal2474
@divanshubansal2474 2 жыл бұрын
Wowwww🙌🙌🙌
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Divanshu!!
@chiragmittal6372
@chiragmittal6372 2 жыл бұрын
Wonderful 👍
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks a lot Chirag ✨
@sarthakwali1210
@sarthakwali1210 2 жыл бұрын
Nice project! 👍
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Sarthak ✨
@sharmaji5298
@sharmaji5298 2 жыл бұрын
In the "Drain water" condition to repeat itself, the "drain_valve_on" should be 1 rather than 0. Otherwise, the drain will take place just like it is on in the spin condition. Please look at 5:36 timestamp.
@inferno3193
@inferno3193 2 жыл бұрын
Great video sir. Sir , how many basic building blocks did we use in this?
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Inferno!! The code itself is divided into 6 states in the finite state machine (at 1:10 ) and the testbench is also in the verilog code itself.
@parthbhatia341
@parthbhatia341 2 жыл бұрын
Great Explanation!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thanks Parth ✨
@zanthetan8614
@zanthetan8614 2 жыл бұрын
Very clear explanation! But Sir, how to do this project using hierachical design?
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you!! You could take reference from this article for hierarchical design. www.eventhelix.com/design-patterns/hierarchical-state-machine/
@StudioSambasiva
@StudioSambasiva Жыл бұрын
For the first time.. In Drain Water condition, how it can out the drain water if drain_value_on=0 (the dirty water should be present that stage only).
@avinashbollu7603
@avinashbollu7603 Жыл бұрын
Can u pls provide the fsm for dialy routine (like taking states as work,sleep,eat ...)
@PrithvirajChippa
@PrithvirajChippa 2 жыл бұрын
Supurbb Brother... 💯
@adarshpanigrahi6935
@adarshpanigrahi6935 Жыл бұрын
Why the motor_on is 0 after the machine starts
@ronixbhaskar8263
@ronixbhaskar8263 2 жыл бұрын
Sir konsa board apne use kiya ha?? Plz urgently important hai
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
So it is basically the default FPGA in the vivado project. The default part and product family for the new project: Default Part xc7vx485tffg1157-1 Product: Virtex-7 Family: Virtex-7 Package: ffg1157 Speed Grade: -1 I do understand you are facing issues since you are a beginner. Please do mail / linkedin me so that i can send you screenshots to help you out.
@hamzagulzarjanjua7532
@hamzagulzarjanjua7532 Жыл бұрын
how you design the state diagram of the machine, on which software
@narutofan429
@narutofan429 Ай бұрын
Which app using
@tarakasuryashashank1267
@tarakasuryashashank1267 11 ай бұрын
please show how to implement in spartan fpga board ? how to check inputs and outputs 🙂
@SHORTSYT-jaihind
@SHORTSYT-jaihind 8 ай бұрын
Did u got any answer
@DileepKumar-el7fn
@DileepKumar-el7fn 2 жыл бұрын
Done signal is highing many times! Can u help me?
@aishahibrahim5171
@aishahibrahim5171 2 жыл бұрын
hello sir, i tried to run the verilog in quartus but its error
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Hi Aishah , Please do share the error here.
@family-kq8ov
@family-kq8ov 2 жыл бұрын
Will this project run on Elbert V2 board????
@sachintom5971
@sachintom5971 5 ай бұрын
will this code work on xilinx vivado
@swatiyadav8724
@swatiyadav8724 Жыл бұрын
Will it run on vivado 2018.2???
@ronixbhaskar8263
@ronixbhaskar8263 2 жыл бұрын
Sir board konsa use kiya hai
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
So it is basically the default FPGA in the vivado project. The default part and product family for the new project: Default Part xc7vx485tffg1157-1 Product: Virtex-7 Family: Virtex-7 Package: ffg1157 Speed Grade: -1 I do understand you are facing issues since you are a beginner. Please do mail / linkedin me so that i can send you screenshots to help you out.
@varunbadiger6176
@varunbadiger6176 Жыл бұрын
which fpga board to use to match the code
@amankashyap2636
@amankashyap2636 Жыл бұрын
Will this code run on Quartus?
@arunpandian294
@arunpandian294 2 жыл бұрын
Also, there is an error while trying to synthesize in Xilinx ISE always@(posedge clk or negedge reset) begin if(reset) begin current_state
@ronixbhaskar8263
@ronixbhaskar8263 2 жыл бұрын
Sir testbench ka code kidar hai
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
It is in the same code file .
@Sandy63035
@Sandy63035 2 жыл бұрын
can you please provide ppts of it
@melissathompson1252
@melissathompson1252 2 жыл бұрын
I hope to see more exciting videos like this one in the near future! You should use a service such as P R O M O S M!!
@ArjunNarula1122
@ArjunNarula1122 2 жыл бұрын
Thank you for your suggestion.
Ik Heb Aardbeien Gemaakt Van Kip🍓🐔😋
00:41
Cool Tool SHORTS Netherlands
Рет қаралды 9 МЛН
Gli occhiali da sole non mi hanno coperto! 😎
00:13
Senza Limiti
Рет қаралды 22 МЛН
Parenting hacks and gadgets against mosquitoes 🦟👶
00:21
Let's GLOW!
Рет қаралды 13 МЛН
ML Was Hard Until I Learned These 5 Secrets!
13:11
Boris Meinardus
Рет қаралды 282 М.
Image processing on FPGA using Verilog HDL
22:49
Izaz Ahmed
Рет қаралды 24 М.
Asynchronous FIFO Verilog Easy Explanation
38:38
Semi Design
Рет қаралды 2,2 М.
Design of vending machine using verilog HDL
40:50
Falguni Raval
Рет қаралды 24 М.
Designing a Simple Voting Machine using FPGAs with Verilog HDL and Vivado
1:03:35
Zynq Part 1: Vivado block diagram (no Verilog/VHDL necessary!)
20:00
FPGAs for Beginners
Рет қаралды 21 М.
HDL Verilog Project (with code) | Clock with Alarm | Xilinx Vivado
8:30
Ik Heb Aardbeien Gemaakt Van Kip🍓🐔😋
00:41
Cool Tool SHORTS Netherlands
Рет қаралды 9 МЛН