Negotiate for job in Engineering, tips to get a great job offer

  Рет қаралды 8,525

nandland

nandland

Күн бұрын

This video has some general tips that I've learned from negotiating for a job career offer as an Engineer. Also I describe the day in the life of an FPGA engineer working with VHDL and Verilog. I also describe what trade-offs you might make when working at a Start-Up. For example, you might sacrifice your salary for more equity (stock options). Also find out how much you should make as an Engineer.
Support me on Patreon! www.patreon.com/user?u=2732133
Text version of this: www.nandland.com/articles/how...

Пікірлер: 27
@stephenross4833
@stephenross4833 5 жыл бұрын
Hey man! I am graduating with my EE degree in June and, following these few videos, I just landed my first FPGA design job with an excellent offer! I am pretty nervous as the amount of material to learn is intimidating, but i am incredibly excited for this opportunity! Cheers!
@viahttp
@viahttp 4 жыл бұрын
Very informative and amazing insight into real world of FPGA
@BorisGrishenco
@BorisGrishenco 2 жыл бұрын
Great advice !
@zigajavornik1026
@zigajavornik1026 5 жыл бұрын
Currently working with PLCs but fpgas have always been my favourite. Reopened a soft CPU project, i really hope to get a job in the field one day. Cheers bud, hope you doing well!
@Nandland
@Nandland 5 жыл бұрын
Thanks! Just put a bunch of FPGA buzz-words on your resume, also have some projects to demonstrate! Good luck.
@tarekamrani7516
@tarekamrani7516 4 жыл бұрын
Thanks
@ramadhanafif
@ramadhanafif Жыл бұрын
I just discovered your job tips video and I'm upset for not founding this earlier. Thanks for sharing your experience. Anyway, any tips on asking for a raise?
@rajeshnayak8492
@rajeshnayak8492 3 жыл бұрын
2:40 what will you recommend who has been on a break from work experience? Currently unemployed.
@jeetlodaya775
@jeetlodaya775 5 жыл бұрын
Does fpga engineering only involves writing vhdl/verilog code or also selecting fpga....and designing the whole system.
@Nandland
@Nandland 5 жыл бұрын
Sometimes there's already an FPGA selected for you. Depends on the project.
@armelletchamkam6121
@armelletchamkam6121 4 жыл бұрын
🙏👍👍👍🙏🙏🙏🙏
@vovkaKosoi
@vovkaKosoi 4 жыл бұрын
The main question for me is always "how much time it should take for a GOOD programmer to do what i'm currently doing?". It's like... whenever i finish something, either it's an addition or fix to a legacy code or my own project from scratch or an existing thing but "done my own way" i never feel happy or satisfied. Well it's done, good for you, but you just spent almost a week on this one IR receiver??? Really?? Do you have any problems like that in your life?)
@Nandland
@Nandland 4 жыл бұрын
Rule #1 of life is "It always takes longer than you think it will." So yeah just come to terms with that fact. One thing I've definitely noticed is that the more I program, design, work, the better/faster I get at FINDING problems. 10 years ago if something went wrong it might take me a couple days to figure out what it was, I wouldn't even know where to look. Now though, I've seen so many things go wrong that I can immediately narrow down where a problem lies and it might take me 5 minutes to solve an issue. That only comes with experience.
@brad3378
@brad3378 5 жыл бұрын
It is smarter to build experience with VHDL or Verilog?
@hekto_pyc9998
@hekto_pyc9998 5 жыл бұрын
Учи VHDL, он на ассемблер похож. А ассемблер это круто)
@0boo
@0boo 5 жыл бұрын
the answer is always BOTH
@hekto_pyc9998
@hekto_pyc9998 5 жыл бұрын
@@0boo та не за что)
@soulhunterrx
@soulhunterrx 5 жыл бұрын
Verilog in America, VHDL anywhere else.
@Nandland
@Nandland 5 жыл бұрын
www.nandland.com/articles/vhdl-or-verilog-for-fpga-asic.html
@lakshminarayan6727
@lakshminarayan6727 2 жыл бұрын
Hi.....Nandland Julian.Why are you looking exactly similar like Facebook Mark ???
@hekto_pyc9998
@hekto_pyc9998 5 жыл бұрын
Уважаемый автор канала, пишет вам человек из России, я не знаю английского, если есть возможность то старайтесь больше показывать чем говорить, так как по картинкам я могу хоть что то найти для себя. С уважением ваш подписчик)
@vrepiev
@vrepiev 5 жыл бұрын
значит вам нужно сначала выучить английский, Russell не знает русского, плюс вся литература по FPGA на англ. Из доступных книг по русски могу порекомендовать www.silicon-russia.com/public_materials/2018_01_15_latest_harris_harris_ru_barabanov_version/digital_design_rus-25.10.2017.pdf плюс статьи на habr: habr.com/ru/post/422431/ курс на русском github.com/zhelnio/ddec
@hekto_pyc9998
@hekto_pyc9998 5 жыл бұрын
@@vrepiev спасибо) Я так то наших смотрю, а этот и ещё парочка так, вдруг увижу что и догадаюсь)) Глупо, ну все же) Заметил много наших начали делать ролики на английском, через переводчики.. Почему бы и автору для русского контента не создать канал. Просто предложил, ни чего в этом зазорного нет) Ещё раз благодарю за ссылки и за то что не оставили мой комментарий висеть)
@hrissan
@hrissan 4 жыл бұрын
Скоро робот будет тебе переводить видео, а потом и за тебя делать схемы 😹
Example Interview Questions for a job in FPGA, VHDL, Verilog
20:34
How to Choose an FPGA for your design
22:02
nandland
Рет қаралды 20 М.
Amazing weight loss transformation !! 😱😱
00:24
Tibo InShape
Рет қаралды 64 МЛН
Spot The Fake Animal For $10,000
00:40
MrBeast
Рет қаралды 189 МЛН
ЧУТЬ НЕ УТОНУЛ #shorts
00:27
Паша Осадчий
Рет қаралды 10 МЛН
Why Is He Unhappy…?
00:26
Alan Chikin Chow
Рет қаралды 58 МЛН
I'm Starting A Revolution
10:30
Bryan Johnson
Рет қаралды 144 М.
FPGA Engineer at a global technology-based trading firm
1:28
Water powered timers hidden in public restrooms
13:12
Steve Mould
Рет қаралды 688 М.
What is a Latch in an FPGA?
7:43
nandland
Рет қаралды 20 М.
What is a Flip-Flop?  How are they used in FPGAs?
24:13
nandland
Рет қаралды 158 М.
Think Fast, Talk Smart: Communication Techniques
58:20
Stanford Graduate School of Business
Рет қаралды 39 МЛН
Что делать если в телефон попала вода?
0:17
Лена Тропоцел
Рет қаралды 3,2 МЛН
Проверил, как вам?
0:58
Коннор
Рет қаралды 266 М.
#samsung #retrophone #nostalgia #x100
0:14
mobijunk
Рет қаралды 13 МЛН