No video

What is priority encoder ? How it solves limitations of encoder? | Explained with example.

  Рет қаралды 2,673

Karthik Vippala

Karthik Vippala

Күн бұрын

Пікірлер: 12
@SunnyKumar-of3vx
@SunnyKumar-of3vx 3 жыл бұрын
Very nice explaination....thanks
@KarthikVippala
@KarthikVippala 3 жыл бұрын
Namaste 🙏 sunny, thanks for the support, good luck and great health 👍😊
@GiridharPedapudi
@GiridharPedapudi 2 жыл бұрын
How to convert a msb priority encoder to a lsb priority encoder???
@shalomnaveen4564
@shalomnaveen4564 3 жыл бұрын
What are the real life examples for priority encoder?
@PavanKumar-so6sz
@PavanKumar-so6sz 2 жыл бұрын
Don't assume that all the inputs are counters. He put all combinations. Now coming to real life example: If you have four ports sending data and there is an arbiter/mux which pics one of the port based on priority. I0, I1, I2 and I3 are four ports. '1' is data valid on each port. All possibilities of valid data on each port as below. 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100 1101 1110 1111 Where '1' is data valid. As per the priority encoder, the output is two bits. Example : 1011 -> data is valid at port 3, port 1 and port0. For MSB priority encoder the intermediate output is 1000. Final output is 11. 'b11 -> 'd3 arbiter/mux picks up port 3 data. Port 0 and port 1 data is ignored at this time. In an efficient design, port 0 and port 1 data are stored (let's say in FIFOs) and pulled when port 0 and port 1 has owned the priority.
@stefanussuprianto
@stefanussuprianto 4 жыл бұрын
Is 74147 a priority encoder? Because there are don't care conditions
@KarthikVippala
@KarthikVippala 4 жыл бұрын
Hey stefanus suprianto , yes it is a Decimal to BCD priority encoder (10to4 line priority encoder). Thanks for asking the question 👍 If you have any doubts, feel free to comment. Please do subscribe it will help me a lot 👍
@stefanussuprianto
@stefanussuprianto 4 жыл бұрын
@@KarthikVippala Thank you very much I will ask you if I have any doubt. I will subscribe you. Anyway, thanks.
@KarthikVippala
@KarthikVippala 4 жыл бұрын
@@stefanussupriantothanks for the support 🙏 , I am happy to help you 👍
@stefanussuprianto
@stefanussuprianto 4 жыл бұрын
@@KarthikVippala Sorry to ask again, but for the IC 74147 that I'm using, is it active in low logic condition or high logic condition?
@KarthikVippala
@KarthikVippala 4 жыл бұрын
Hey don't feel sorry , you have doubt you must clear it without guilt. We use ACTIVE LOW in IC 74147 , ie to use any of the input we will make them LOW . Info that might be useful: ACTIVE HIGH is opposite of active low ,in active high to use inputs we will make them HIGH Always here to help feel free to comment 👍
Priority Encoder
10:50
Neso Academy
Рет қаралды 1,5 МЛН
Encoder in Digital Electronics | Working, Application and Logic Circuit of Encoder
13:54
黑天使遇到什么了?#short #angel #clown
00:34
Super Beauty team
Рет қаралды 48 МЛН
When you discover a family secret
00:59
im_siowei
Рет қаралды 22 МЛН
Underwater Challenge 😱
00:37
Topper Guild
Рет қаралды 41 МЛН
А ВЫ УМЕЕТЕ ПЛАВАТЬ?? #shorts
00:21
Паша Осадчий
Рет қаралды 1,9 МЛН
Hexadecimal to Binary Encoder | Digital Electronics
9:11
Vasudha's Engineering Classes
Рет қаралды 553
How a Computer Works - from silicon to apps
42:32
Improbable Matter
Рет қаралды 1,3 МЛН
A Google Interview Question.  # Digital Design
3:04
Karthik Vippala
Рет қаралды 4,8 М.
X-propagation in SOC design flow | Do you Love your X !!
6:46
Karthik Vippala
Рет қаралды 4,8 М.
Designing a 7-segment hex decoder
15:33
Ben Eater
Рет қаралды 3,7 МЛН
Priority Encoder | Digital Electronics
6:00
Gate Smashers
Рет қаралды 115 М.
Why Democracy Is Mathematically Impossible
23:34
Veritasium
Рет қаралды 1,9 МЛН
黑天使遇到什么了?#short #angel #clown
00:34
Super Beauty team
Рет қаралды 48 МЛН