No video

Glitch Free Clock Mux | Clock Mux | VLSI | What is Glitch Free Mux | GFCM | Circuit

  Рет қаралды 10,233

Electronicspedia

Electronicspedia

Күн бұрын

Пікірлер: 20
@Electronicspedia
@Electronicspedia 2 жыл бұрын
Please Like, Share and Subscribe to my channel kzbin.info
@docvedios1955
@docvedios1955 6 ай бұрын
Simply outstanding, got perfect picture on the topic. Thanks a lot
@harshitha-8256
@harshitha-8256 7 ай бұрын
I found the video extremely useful for my interview preparation, Thank you!
@vivekchin2
@vivekchin2 2 жыл бұрын
Clk2 gets disabled in 1.5 cycles after sel value is changed from 0->1, and then it waits for 1.5 cycle of CLK1 to send it to output of the mux (rightmost OR gate) Last flops are kept at half cycle path. This is because when we are disabling one clock and switching to other clock this switchover falls in at the downtime of any clock, stopping all possibilities of glitch to occur, which otherwise possible only if CLK is @ high level. Its even more fun for 3x1 CLKMUX
@arlenalem
@arlenalem 9 ай бұрын
Thanks a lot for the video, it is really illustrating. Is there any book about this advance technics for FPGA?
@oviya.n1317
@oviya.n1317 Жыл бұрын
We can use negedge triggered flipflop for the the circuit responsible for generating enable . This will not chop the signal while stopping and switching to one clk from another. Crt me if i am wrong
@AdityasTV
@AdityasTV 2 жыл бұрын
Hi sir, can you explain how the flops D11,12,21,22 are reset (initially zero), and impact / metastability if any, when bringing the flops out of reset, and activating the clock mux. Assuming we are using async resets for the four flops.
@Electronicspedia
@Electronicspedia 2 жыл бұрын
Hi, During reset phase all the four asynchronous resets will be asserted and all the four flops will have reset value of 0, At this time the clock will be disabled so we don't see the clock output. Only after the reset phase is done the clocks will be enabled. By this way we don't see metastability issue. Important thing to note is we need to have the two stage synchronizers for select signal to ensure we don't see metastability if select is asynchronous to input clocks.
@AdityasTV
@AdityasTV 2 жыл бұрын
@@Electronicspedia Hi sir, thanks for the response. However, won't the clock inputs clk1 and clk2 will be free-running? Or are you saying from system perspective, clock inputs clk1 and clk2 will be ungated/made available to system, after reset is released. Agreed about the reason for using 2flop synch instead of 1 (in place of D11, D21) ; I think its for this very reason; while the output of AND gate will be stable by the time the first flop samples it, but it wil provide guard against asynch data transition from 0 -> 1 for d-ff (2nd stage) once it comes out of reset (asynchronously).
@Electronicspedia
@Electronicspedia 2 жыл бұрын
@@AdityasTV Clocks can be controlled or can be free running. But at a system level usually the clocks will be controlled / gated in order to overcome these issues of metastability and also to save power.
@saravanavilashini1563
@saravanavilashini1563 2 жыл бұрын
It will be nice if u explain in verilog code also
@Manikumar-gt9ov
@Manikumar-gt9ov Жыл бұрын
great content and explanation
@EvgenyCeed
@EvgenyCeed Жыл бұрын
Hi! How to apply the correct constraint for a clock formed in this way? The clock source must be declared on the output pin of this circuit?
@AliMuhammad-sm9hx
@AliMuhammad-sm9hx Жыл бұрын
Thanks for the class. can you please also cover fsm design
@sathishmitta6124
@sathishmitta6124 2 жыл бұрын
We are getting the no lock window for some duration right, doesn't it effect the functionality of the design? Suppose if I required to get the mux output is continuous without no clock window with the clk1 and clk2 are same frequency ,sources are different. is it possible please clarify.
@Electronicspedia
@Electronicspedia 2 жыл бұрын
Hi, two clock sources will be considered as asynchronous clocks. For such clocks you need GFCM. Having no output clock is absolutely fine for few clock cycles.
@manojs5891
@manojs5891 Жыл бұрын
Module ab ( Input a,s, Output y); Always@(*) If(s) Y=a end What will be the Circuit for these sir...
@Electronicspedia
@Electronicspedia Жыл бұрын
It will be a mux with 's' as select line. Input I0 will be connected to output and I1 connected to 'a' input.
@mustafanasser3132
@mustafanasser3132 2 жыл бұрын
sir , i can't get why we put a negative edge ff you say safely sample the data but if we put +ve edge we still safely sample also
@Electronicspedia
@Electronicspedia 2 жыл бұрын
If we sample the enable signal at an active high level of clock then we might see glitch because we have AND gate immediately after latch, Here the idea is to sample the enable when clock is not present and then AND with clock
Magic? 😨
00:14
Andrey Grechka
Рет қаралды 20 МЛН
When you discover a family secret
00:59
im_siowei
Рет қаралды 17 МЛН
Clock Gating | Integrated Clock Gating cell
12:20
Jairam Gouda
Рет қаралды 31 М.
Lecture 15: STA considering OCV and CRPR (Setup check)
36:31
IIT Roorkee July 2018
Рет қаралды 3,1 М.
Mux synchronizer (Clock domain crossing)
5:17
Karthik Vippala
Рет қаралды 16 М.
Magic? 😨
00:14
Andrey Grechka
Рет қаралды 20 МЛН