Fixded Priority Arbitration | Efficient way to CODE RTL #2

  Рет қаралды 1,877

Karthik Vippala

Karthik Vippala

Жыл бұрын

Namste everyone , in this video I have discussed about fixed priority arbiter,
If you have any doubts in any topic in digital electronics please leave a comment down below , I WILL RESPOND BACK .
Thanks for watching ,PLEASE DO SUBSCRIBE IT WILL HELP ME A LOT to produce more good content for you

Пікірлер: 7
@victorgan80103
@victorgan80103 11 ай бұрын
In the design code, at the end of line 12, use ~(|req_i[i-1:0]) instead of using ~(|grant_o[i-1:0]) might give it a more intuitive logic. Good demonstration.
@uditgohil7547
@uditgohil7547 Ай бұрын
Vikram Tina Roles top secret good example 😂😂
@RandomPlayer007
@RandomPlayer007 Жыл бұрын
could you explain that we should not use for loops in design
@krishnakittu6637
@krishnakittu6637 Жыл бұрын
Hi, If possible can you make a video on protocols like Pcie, DDR etc
@KarthikVippala
@KarthikVippala Жыл бұрын
Sure👍
@user-te1sw6ln2j
@user-te1sw6ln2j 6 ай бұрын
This create a circular logic. This should not be used in a design.
@Ajay-mw3or
@Ajay-mw3or 9 ай бұрын
incorrect coding style , it's a combo loop . output is used as input without using any sequential element i.e flop . Try implemention using a state machine.
X-propagation in SOC design flow | Do you Love your X !!
6:46
Karthik Vippala
Рет қаралды 4,5 М.
Heartwarming moment as priest rescues ceremony with kindness #shorts
00:33
Fabiosa Best Lifehacks
Рет қаралды 13 МЛН
Я нашел кто меня пранкует!
00:51
Аришнев
Рет қаралды 4,8 МЛН
Who has won ?? 😀 #shortvideo #lizzyisaeva
00:24
Lizzy Isaeva
Рет қаралды 63 МЛН
孩子多的烦恼?#火影忍者 #家庭 #佐助
00:31
火影忍者一家
Рет қаралды 51 МЛН
The Bus | How the computer works?
5:37
notez on tek
Рет қаралды 141 М.
Making A Game In COBOL - Coding Like It's 1975
19:11
SomeUnusualGames
Рет қаралды 1,1 М.
UVM Tutorial - Round Robin Arbiter #uvm #vlsitraining #vlsiprojects
1:04:06
A Google Interview Question.  # Digital Design
3:04
Karthik Vippala
Рет қаралды 4,6 М.
Salary Range of VLSI Engineer In USA!!
0:28
Yudi J
Рет қаралды 103 М.
SerDes (Serializer/Deserializer) Explained in 5 Minutes
4:44
Intrepid Control Systems
Рет қаралды 9 М.
Operations in sensitivity lists !!  always @(a && b) .....
2:36
Karthik Vippala
Рет қаралды 756
Heartwarming moment as priest rescues ceremony with kindness #shorts
00:33
Fabiosa Best Lifehacks
Рет қаралды 13 МЛН