Modelling of Memory Part-3| Modelling Synchronous FIFO|Verilog|Part 26

  Рет қаралды 11,774

Vipin Kizheppatt

Vipin Kizheppatt

Күн бұрын

Пікірлер: 14
@dheerajchumble5602
@dheerajchumble5602 3 жыл бұрын
Excellent tutorial. Such a clarity in thoughts. Each and every step explained very properly. Thank you sir.
@hosseinrostami7708
@hosseinrostami7708 3 жыл бұрын
The code is well explained and working like a charm. Really time saving. thanks for sharing.
@susanthawijesinghe5429
@susanthawijesinghe5429 4 жыл бұрын
Very nice description. It's very helpful to me. Love to see this kind of works more.
@chetanbadagandi8789
@chetanbadagandi8789 8 ай бұрын
sir your videos are very helpful and have great explanation, sir please make video on asynchronous fifo also like this, it would be very helpful
@johnkurian5869
@johnkurian5869 2 жыл бұрын
Very good tutorial. The code listing shows two counters fifoDataCount and dataCounter to keep track of no of bytes in FIFO. One of them is redundant?
@parthsomkuwar2785
@parthsomkuwar2785 3 жыл бұрын
Very informative Sir.. I request Modelling of DDR1/2/3 also Please.
@Vipinkmenon
@Vipinkmenon 3 жыл бұрын
We will never buld ddr memory inside fpga. There is no need of it and there is no point in it. There will be ddr outside FPGA which will be controlled by a ddr controller inside fpga (usually mig ip from Xilinx). So we may need ddr model during simulation. DDR manufacturers (like micron) provide the models for free. Of course they won't be synthesizable but only functional model. Even when u use mig ip core, Xilinx will also provide an example design with ddr model. That model will be also provided by the corresponding manufacturers. Modeling all timing behaviours of ddr (like precharge, auto refresh etc) are not easy. So better to use models from vendors.
@parthsomkuwar2785
@parthsomkuwar2785 3 жыл бұрын
@@VipinkmenonThanks for the reply and information, Sir !
@AmitKumar-wf1qq
@AmitKumar-wf1qq 3 жыл бұрын
Please Could you suggest where I will get theory of synchronous FIFO? Any book or paper?
@Manishpundir04
@Manishpundir04 2 жыл бұрын
how to do for async fifo ?
@dheerajchumble5602
@dheerajchumble5602 3 жыл бұрын
Sir please guide me on this issue. I am trying APB master code. Getting error in port declaration itself. Not getting how to solve. ///////////// Design Code: ///////////////////// module APB_NEW( input pclk, input prst, output reg [3:0]paddr, output reg [7:0]pwdata, output pwrite, output psel, output reg penable, input [7:0]prdata, input pready ); reg [3:0] addr_bus; reg [7:0] data_bus; reg [7:0] mem [0:255]; integer i; always@(posedge pclk) begin if(prst) begin paddr = 0; pwdata = 0; end else begin //if(psel)begin penable = 1; if(pready)begin if (pwrite)begin paddr = addr_bus; pwdata = data_bus; end else begin mem[paddr] = prdata; end end //end end end ////// Test Bench Code /////////// module tb_apb_new(); reg pclk; reg prst; wire [3:0]paddr; wire [7:0]pwdata; wire pwrite; wire psel; reg penable; wire [7:0]prdata; reg pready; reg [3:0] addr_bus; reg [7:0] data_bus; reg [7:0] mem [0:255]; integer i; APB_NEW dut(pclk,prst,paddr,pwdata,pwrite,psel,penable,prdata,pready); initial begin pclk = 0; forever #5 pclk = ~pclk; end initial begin for(i=0;i
@varunsharma3860
@varunsharma3860 3 жыл бұрын
@Dheeraj Chumble Hi, Why are you driving 'pwrite' in your testbench? You've declared it as an output.
@kisrisri8471
@kisrisri8471 Жыл бұрын
Have you implemented this on FPGA board?
@dheerajchumble5602
@dheerajchumble5602 Жыл бұрын
@@kisrisri8471 no..I did not
99.9% IMPOSSIBLE
00:24
STORROR
Рет қаралды 31 МЛН
黑天使被操控了#short #angel #clown
00:40
Super Beauty team
Рет қаралды 61 МЛН
Designing a First In First Out (FIFO) in Verilog
24:41
Shepherd Tutorials
Рет қаралды 30 М.
Introduction to Direct Memory Access (DMA)
31:29
Vipin Kizheppatt
Рет қаралды 36 М.
What is a FIFO in an FPGA
17:47
nandland
Рет қаралды 75 М.
The First Amiga Virus - Something Wonderful Has Happened
17:05
Modern Vintage Gamer
Рет қаралды 95 М.
Linux Kernel 6.12 | This is Historic
1:07:22
Maple Circuit
Рет қаралды 123 М.
How a Microcontroller starts
28:49
Artful Bytes
Рет қаралды 61 М.
Asynchronous FIFO Verilog Easy Explanation
38:38
Semi Design
Рет қаралды 4,3 М.
Verilog, FPGA, Serial Com: Overview + Example
55:27
hhp3
Рет қаралды 11 М.
99.9% IMPOSSIBLE
00:24
STORROR
Рет қаралды 31 МЛН