DVD Lecture 11: Sign Off and Chip Finishing - Part 2

  Рет қаралды 5,377

Adi Teman

Adi Teman

Күн бұрын

Пікірлер: 9
@amarsamudrala1060
@amarsamudrala1060 3 жыл бұрын
Hi sir what is difference between hierarchical and flat synthesis
@AdiTeman
@AdiTeman 2 жыл бұрын
In general, in VLSI CAD (and many other engineering processes), "hierarchical" means that we "divide and conquer". In other words, we will break the design into several parts (sometimes break the parts into additional parts...) and run the process on them independently. Then we will take the results and integrate them into a higher level process. So for synthesis, let's take an example of an SoC with 4 CPU cores. We could run "flat" synthesis, which means we give the tool all the RTL and have it deal with 4X the number of gates in one CPU core, plus the rest of the SoC logic. Or we could do it hierarchically, i.e., synthesize the core independently and then run a toplevel synthesis on the SoC, where we instantiate the synthesized netlist of the core 4 times, thereby reducing the complexity of the synthesis.
@thaodgn
@thaodgn 3 жыл бұрын
Hi Professor, your video lecture is great.BTW, the hyperlink of lecture slides is no lonnger access. Could you update a new link? Thank you sir :)
@AdiTeman
@AdiTeman 3 жыл бұрын
Yes, I believe that the IT of Bar-Ilan University has put some access restrictions due to cybersecurity warnings. I will eventually move the lectures to an external server if they do not resolve this soon. Please feel free to contact me directly (e-mail) if you need a certain lecture PDF in the meantime.
@KousalyaIndravath-IK
@KousalyaIndravath-IK 3 жыл бұрын
Thank you so much sir.
@AdiTeman
@AdiTeman 3 жыл бұрын
Most welcome
@mynameisnotamd2923
@mynameisnotamd2923 3 жыл бұрын
Is the next video in the playlist (about investment from Cooper Academy) related to VLSI Design?
@AdiTeman
@AdiTeman 3 жыл бұрын
No, this is a mistake (GUI "feature" of KZbin... Happens to me once in a while). Thanks for pointing this out. I will fix it :)
@mynameisnotamd2923
@mynameisnotamd2923 3 жыл бұрын
@@AdiTeman Thanks a lot for your time and effort. This video series is the most comprehensive video series available freely that I could find covering the RTL2GDS flow. Greatly helped me during my preparation for interviews.
DVD - Lecture 10: Packaging and I/O Circuits
53:56
Adi Teman
Рет қаралды 21 М.
Brawl Stars Edit😈📕
00:15
Kan Andrey
Рет қаралды 47 МЛН
У ГОРДЕЯ ПОЖАР в ОФИСЕ!
01:01
Дима Гордей
Рет қаралды 8 МЛН
Самое неинтересное видео
00:32
Miracle
Рет қаралды 2,7 МЛН
DVD - Lecture 6: Moving to the Physical Domain
1:05:09
Adi Teman
Рет қаралды 24 М.
VLSI - Lecture 7e: Basic Timing Constraints
25:55
Adi Teman
Рет қаралды 9 М.
VLSI - Lecture 1c: Introduction - How a Chip is Born
20:30
Adi Teman
Рет қаралды 7 М.
DVD - Lecture 9: Routing
56:15
Adi Teman
Рет қаралды 16 М.
IC Design &  Manufacturing Process : Beginners Overview to VLSI
32:07
Systemverilog Academy
Рет қаралды 152 М.
How to write Synthesizeable RTL
34:52
Adi Teman
Рет қаралды 17 М.